From b1ae22b367479810d79c1d7d7b3732d02749e4ab Mon Sep 17 00:00:00 2001 From: wiebel Date: Tue, 22 Oct 2019 14:12:32 +0200 Subject: [PATCH] new images (pdf) --- Hardware/kicad/CANNode-cache.lib | 257 ++-- Hardware/kicad/CANNode.pdf | Bin 0 -> 76333 bytes Hardware/kicad/CANNode.sch | 8 +- .../kicad/DiffWS2811/DiffWS2811.kicad_pcb | 1326 +++++++++++++++++ Hardware/kicad/DiffWS2811/DiffWS2811.pdf | Bin 0 -> 8968 bytes Hardware/kicad/DiffWS2811/DiffWS2811.pro | 43 + Hardware/kicad/DiffWS2811/DiffWS2811.sch | 419 ++++++ .../kicad/DiffWS2811/DiffWS2811_min.kicad_pcb | 1256 ++++++++++++++++ Hardware/kicad/DiffWS2811/DiffWS2811_min.pro | 33 + 9 files changed, 3211 insertions(+), 131 deletions(-) create mode 100644 Hardware/kicad/CANNode.pdf create mode 100644 Hardware/kicad/DiffWS2811/DiffWS2811.kicad_pcb create mode 100644 Hardware/kicad/DiffWS2811/DiffWS2811.pdf create mode 100644 Hardware/kicad/DiffWS2811/DiffWS2811.pro create mode 100644 Hardware/kicad/DiffWS2811/DiffWS2811.sch create mode 100644 Hardware/kicad/DiffWS2811/DiffWS2811_min.kicad_pcb create mode 100644 Hardware/kicad/DiffWS2811/DiffWS2811_min.pro diff --git a/Hardware/kicad/CANNode-cache.lib b/Hardware/kicad/CANNode-cache.lib index 4128c5b..66036a4 100644 --- a/Hardware/kicad/CANNode-cache.lib +++ b/Hardware/kicad/CANNode-cache.lib @@ -1,11 +1,11 @@ EESchema-LIBRARY Version 2.4 #encoding utf-8 # -# 000_my_lib:DSN-MINI-360 +# CANNode-rescue_DSN-MINI-360-000_my_lib # -DEF 000_my_lib:DSN-MINI-360 MOD 0 40 N Y 1 F N +DEF CANNode-rescue_DSN-MINI-360-000_my_lib MOD 0 40 N Y 1 F N F0 "MOD" 350 -50 50 H V C CNN -F1 "000_my_lib:DSN-MINI-360" 200 350 50 H V C CNN +F1 "CANNode-rescue_DSN-MINI-360-000_my_lib" 200 350 50 H V C CNN F2 "" 250 150 50 H I C CNN F3 "" 250 150 50 H I C CNN DRAW @@ -16,11 +16,11 @@ X OUT OUT 500 250 100 L 50 50 1 1 P ENDDRAW ENDDEF # -# 000_my_lib:MAX3032 +# CANNode-rescue_MAX3032-000_my_lib # -DEF 000_my_lib:MAX3032 U 0 20 Y Y 1 F N +DEF CANNode-rescue_MAX3032-000_my_lib U 0 20 Y Y 1 F N F0 "U" -300 750 50 H V L CNN -F1 "000_my_lib:MAX3032" 100 750 50 H V L CNN +F1 "CANNode-rescue_MAX3032-000_my_lib" 100 750 50 H V L CNN F2 "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" -100 -800 50 H I C CNN F3 "" 50 -100 50 H I C CNN $FPLIST @@ -82,11 +82,56 @@ X DI3 9 -450 -150 100 R 50 50 1 1 I ENDDRAW ENDDEF # -# Connector_Generic:Conn_01x04 +# CANNode-rescue_Teensy3.2-teensy # -DEF Connector_Generic:Conn_01x04 J 0 40 Y N 1 F N +DEF CANNode-rescue_Teensy3.2-teensy U 0 40 Y Y 1 F N +F0 "U" -700 -850 60 H V C CNN +F1 "CANNode-rescue_Teensy3.2-teensy" 550 -850 60 H V C CNN +F2 "" -200 -800 60 H V C CNN +F3 "" -200 -800 60 H V C CNN +DRAW +S -750 800 750 -800 0 1 0 N +X GND 1 -950 700 200 R 50 50 1 1 W +X 8_TX3_DIN 10 -950 -200 200 R 50 50 1 1 B +X 9_RX2_CS_PWM 11 -950 -300 200 R 50 50 1 1 B +X 10_TX2_CS_PWM 12 -950 -400 200 R 50 50 1 1 B +X 11_DOUT 13 -950 -500 200 R 50 50 1 1 B +X 12_DIN 14 -950 -600 200 R 50 50 1 1 B +X VBat 15 -350 -1000 200 U 50 50 1 1 W +X 3.3V 16 -250 -1000 200 U 50 50 1 1 P +X GND 17 -150 -1000 200 U 50 50 1 1 W +X Program 18 -50 -1000 200 U 50 50 1 1 I +X A14/DAC 19 50 -1000 200 U 50 50 1 1 B +X 0_RX1_Touch 2 -950 600 200 R 50 50 1 1 B +X 13_LED_SCK 20 950 -600 200 L 50 50 1 1 B +X 14_A0_SCK 21 950 -500 200 L 50 50 1 1 B +X 15_A1_CS_Touch 22 950 -400 200 L 50 50 1 1 B +X 16_A2_SCL0_Touch 23 950 -300 200 L 50 50 1 1 B +X 17_A3_SDA0_Touch 24 950 -200 200 L 50 50 1 1 B +X 18_A4_SDA0_Touch 25 950 -100 200 L 50 50 1 1 B +X 19_A5_SCL0_Touch 26 950 0 200 L 50 50 1 1 B +X 20_A6_CS_PWM 27 950 100 200 L 50 50 1 1 B +X 21_A7_RX1_CS_PWM 28 950 200 200 L 50 50 1 1 B +X 22_A8_Touch_PWM 29 950 300 200 L 50 50 1 1 B +X 1_TX1_Touch 3 -950 500 200 R 50 50 1 1 B +X 23_A9_Touch_PWM 30 950 400 200 L 50 50 1 1 B +X 3.3V_max100mA 31 950 500 200 L 50 50 1 1 w +X AGND 32 950 600 200 L 50 50 1 1 w +X Vin 33 950 700 200 L 50 50 1 1 W +X 2 4 -950 400 200 R 50 50 1 1 B +X 3_TX_PWM 5 -950 300 200 R 50 50 1 1 B +X 4_RX_PWM 6 -950 200 200 R 50 50 1 1 B +X 5_TX1_PWM 7 -950 100 200 R 50 50 1 1 B +X 6_PWM 8 -950 0 200 R 50 50 1 1 B +X 7_RX3_DOUT 9 -950 -100 200 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# Connector_Generic_Conn_01x04 +# +DEF Connector_Generic_Conn_01x04 J 0 40 Y N 1 F N F0 "J" 0 200 50 H V C CNN -F1 "Connector_Generic:Conn_01x04" 0 -300 50 H V C CNN +F1 "Connector_Generic_Conn_01x04" 0 -300 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN $FPLIST @@ -105,11 +150,11 @@ X Pin_4 4 -200 -200 150 R 50 50 1 1 P ENDDRAW ENDDEF # -# Connector_Generic:Conn_01x10 +# Connector_Generic_Conn_01x10 # -DEF Connector_Generic:Conn_01x10 J 0 40 Y N 1 F N +DEF Connector_Generic_Conn_01x10 J 0 40 Y N 1 F N F0 "J" 0 500 50 H V C CNN -F1 "Connector_Generic:Conn_01x10" 0 -600 50 H V C CNN +F1 "Connector_Generic_Conn_01x10" 0 -600 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN $FPLIST @@ -140,11 +185,11 @@ X Pin_9 9 -200 -400 150 R 50 50 1 1 P ENDDRAW ENDDEF # -# Connector_Generic:Conn_02x03_Odd_Even +# Connector_Generic_Conn_02x03_Odd_Even # -DEF Connector_Generic:Conn_02x03_Odd_Even J 0 40 Y N 1 F N +DEF Connector_Generic_Conn_02x03_Odd_Even J 0 40 Y N 1 F N F0 "J" 50 200 50 H V C CNN -F1 "Connector_Generic:Conn_02x03_Odd_Even" 50 -200 50 H V C CNN +F1 "Connector_Generic_Conn_02x03_Odd_Even" 50 -200 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN $FPLIST @@ -167,11 +212,11 @@ X Pin_6 6 300 -100 150 L 50 50 1 1 P ENDDRAW ENDDEF # -# Connector_Generic:Conn_02x04_Odd_Even +# Connector_Generic_Conn_02x04_Odd_Even # -DEF Connector_Generic:Conn_02x04_Odd_Even J 0 40 Y N 1 F N +DEF Connector_Generic_Conn_02x04_Odd_Even J 0 40 Y N 1 F N F0 "J" 50 200 50 H V C CNN -F1 "Connector_Generic:Conn_02x04_Odd_Even" 50 -300 50 H V C CNN +F1 "Connector_Generic_Conn_02x04_Odd_Even" 50 -300 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN $FPLIST @@ -198,11 +243,11 @@ X Pin_8 8 300 -200 150 L 50 50 1 1 P ENDDRAW ENDDEF # -# Device:CP_Small +# Device_CP_Small # -DEF Device:CP_Small C 0 10 N N 1 F N +DEF Device_CP_Small C 0 10 N N 1 F N F0 "C" 10 70 50 H V L CNN -F1 "Device:CP_Small" 10 -80 50 H V L CNN +F1 "Device_CP_Small" 10 -80 50 H V L CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN $FPLIST @@ -218,11 +263,11 @@ X ~ 2 0 -100 73 U 50 50 1 1 P ENDDRAW ENDDEF # -# Device:C_Small +# Device_C_Small # -DEF Device:C_Small C 0 10 N N 1 F N +DEF Device_C_Small C 0 10 N N 1 F N F0 "C" 10 70 50 H V L CNN -F1 "Device:C_Small" 10 -80 50 H V L CNN +F1 "Device_C_Small" 10 -80 50 H V L CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN $FPLIST @@ -236,13 +281,16 @@ X ~ 2 0 -100 80 U 50 50 1 1 P ENDDRAW ENDDEF # -# Device:Jumper_NC_Small +# Device_Jumper_NC_Small # -DEF Device:Jumper_NC_Small JP 0 30 N N 1 F N +DEF Device_Jumper_NC_Small JP 0 30 N N 1 F N F0 "JP" 0 80 50 H V C CNN -F1 "Device:Jumper_NC_Small" 10 -60 50 H V C CNN +F1 "Device_Jumper_NC_Small" 10 -60 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN +$FPLIST + SolderJumper*Bridged* +$ENDFPLIST DRAW A 0 -10 57 450 1350 0 1 0 N 40 30 -40 30 C -40 0 20 0 1 0 N @@ -252,11 +300,11 @@ X 2 2 100 0 40 L 50 50 0 1 P ENDDRAW ENDDEF # -# Device:R_Small +# Device_R_Small # -DEF Device:R_Small R 0 10 N N 1 F N +DEF Device_R_Small R 0 10 N N 1 F N F0 "R" 30 20 50 H V L CNN -F1 "Device:R_Small" 30 -40 50 H V L CNN +F1 "Device_R_Small" 30 -40 50 H V L CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN $FPLIST @@ -269,11 +317,35 @@ X ~ 2 0 -100 30 U 50 50 1 1 P ENDDRAW ENDDEF # -# Interface:PCA9306 +# Interface_CAN_LIN_SN65HVD230 +# +DEF Interface_CAN_LIN_SN65HVD230 U 0 40 Y Y 1 F N +F0 "U" -100 400 50 H V R CNN +F1 "Interface_CAN_LIN_SN65HVD230" -100 300 50 H V R CNN +F2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" 0 -500 50 H I C CNN +F3 "" -100 400 50 H I C CNN +ALIAS SN65HVD231 +$FPLIST + SOIC*3.9x4.9mm*P1.27mm* +$ENDFPLIST +DRAW +S -300 200 300 -300 0 1 10 f +X D 1 -400 100 100 R 50 50 1 1 I +X GND 2 0 -400 100 U 50 50 1 1 W +X VCC 3 0 300 100 D 50 50 1 1 W +X R 4 -400 0 100 R 50 50 1 1 O +X Vref 5 -400 -100 100 R 50 50 1 1 O +X CANL 6 400 -100 100 L 50 50 1 1 B +X CANH 7 400 0 100 L 50 50 1 1 B +X Rs 8 -400 -200 100 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Interface_PCA9306 # -DEF Interface:PCA9306 U 0 40 Y Y 1 F N +DEF Interface_PCA9306 U 0 40 Y Y 1 F N F0 "U" -300 450 50 H V L CNN -F1 "Interface:PCA9306" 200 450 50 H V L CNN +F1 "Interface_PCA9306" 200 450 50 H V L CNN F2 "" -400 350 50 H I C CNN F3 "" -300 450 50 H I C CNN $FPLIST @@ -294,35 +366,11 @@ X EN 8 400 100 100 L 50 50 1 1 I ENDDRAW ENDDEF # -# Interface_CAN_LIN:SN65HVD230 -# -DEF Interface_CAN_LIN:SN65HVD230 U 0 40 Y Y 1 F N -F0 "U" -100 400 50 H V R CNN -F1 "Interface_CAN_LIN:SN65HVD230" -100 300 50 H V R CNN -F2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" 0 -500 50 H I C CNN -F3 "" -100 400 50 H I C CNN -ALIAS SN65HVD231 -$FPLIST - SOIC*3.9x4.9mm*P1.27mm* -$ENDFPLIST -DRAW -S -300 200 300 -300 0 1 10 f -X D 1 -400 100 100 R 50 50 1 1 I -X GND 2 0 -400 100 U 50 50 1 1 W -X VCC 3 0 300 100 D 50 50 1 1 W -X R 4 -400 0 100 R 50 50 1 1 O -X Vref 5 -400 -100 100 R 50 50 1 1 O -X CANL 6 400 -100 100 L 50 50 1 1 B -X CANH 7 400 0 100 L 50 50 1 1 B -X Rs 8 -400 -200 100 R 50 50 1 1 I -ENDDRAW -ENDDEF -# -# Interface_UART:MAX3485 +# Interface_UART_MAX3485 # -DEF Interface_UART:MAX3485 U 0 20 Y Y 1 F N +DEF Interface_UART_MAX3485 U 0 20 Y Y 1 F N F0 "U" -240 450 50 H V C CNN -F1 "Interface_UART:MAX3485" 30 450 50 H V L CNN +F1 "Interface_UART_MAX3485" 30 450 50 H V L CNN F2 "" 0 -700 50 H I C CNN F3 "" 0 50 50 H I C CNN ALIAS MAX483E MAX485E MAX487E MAX1487E MAX3485 MAX3483 MAX3486 @@ -358,15 +406,15 @@ X VCC 8 0 500 100 D 50 50 1 1 W ENDDRAW ENDDEF # -# Jumper:Jumper_3_Bridged12 +# Jumper_Jumper_3_Bridged12 # -DEF Jumper:Jumper_3_Bridged12 JP 0 0 Y N 1 F N +DEF Jumper_Jumper_3_Bridged12 JP 0 0 Y N 1 F N F0 "JP" -100 -100 50 H V C CNN -F1 "Jumper:Jumper_3_Bridged12" 0 110 50 H V C CNN +F1 "Jumper_Jumper_3_Bridged12" 0 110 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN $FPLIST - Jumper*Bridged12* + SolderJumper*Bridged12* $ENDFPLIST DRAW A -65 -50 89 1282 518 0 1 0 N -120 20 -10 20 @@ -380,11 +428,11 @@ X B 3 250 0 100 L 50 50 1 1 P ENDDRAW ENDDEF # -# Regulator_Linear:LM1117-5.0 +# Regulator_Linear_LM1117-5.0 # -DEF Regulator_Linear:LM1117-5.0 U 0 10 Y Y 1 F N +DEF Regulator_Linear_LM1117-5.0 U 0 10 Y Y 1 F N F0 "U" -150 125 50 H V C CNN -F1 "Regulator_Linear:LM1117-5.0" 0 125 50 H V L CNN +F1 "Regulator_Linear_LM1117-5.0" 0 125 50 H V L CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN ALIAS LM1117-2.5 LM1117-3.3 LM1117-5.0 TLV1117-15 TLV1117-18 TLV1117-25 TLV1117-33 TLV1117-50 @@ -402,11 +450,11 @@ X VI 3 -300 0 100 R 50 50 1 1 W ENDDRAW ENDDEF # -# power:+12V +# power_+12V # -DEF power:+12V #PWR 0 0 Y Y 1 F P +DEF power_+12V #PWR 0 0 Y Y 1 F P F0 "#PWR" 0 -150 50 H I C CNN -F1 "power:+12V" 0 140 50 H V C CNN +F1 "power_+12V" 0 140 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN DRAW @@ -417,11 +465,11 @@ X +12V 1 0 0 0 U 50 50 1 1 W N ENDDRAW ENDDEF # -# power:+3.3V +# power_+3.3V # -DEF power:+3.3V #PWR 0 0 Y Y 1 F P +DEF power_+3.3V #PWR 0 0 Y Y 1 F P F0 "#PWR" 0 -150 50 H I C CNN -F1 "power:+3.3V" 0 140 50 H V C CNN +F1 "power_+3.3V" 0 140 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN ALIAS +3.3V @@ -433,11 +481,11 @@ X +3V3 1 0 0 0 U 50 50 1 1 W N ENDDRAW ENDDEF # -# power:+5V +# power_+5V # -DEF power:+5V #PWR 0 0 Y Y 1 F P +DEF power_+5V #PWR 0 0 Y Y 1 F P F0 "#PWR" 0 -150 50 H I C CNN -F1 "power:+5V" 0 140 50 H V C CNN +F1 "power_+5V" 0 140 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN DRAW @@ -448,11 +496,11 @@ X +5V 1 0 0 0 U 50 50 1 1 W N ENDDRAW ENDDEF # -# power:GND +# power_GND # -DEF power:GND #PWR 0 0 Y Y 1 F P +DEF power_GND #PWR 0 0 Y Y 1 F P F0 "#PWR" 0 -250 50 H I C CNN -F1 "power:GND" 0 -150 50 H V C CNN +F1 "power_GND" 0 -150 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN DRAW @@ -461,11 +509,11 @@ X GND 1 0 0 0 D 50 50 1 1 W N ENDDRAW ENDDEF # -# power:PWR_FLAG +# power_PWR_FLAG # -DEF power:PWR_FLAG #FLG 0 0 N N 1 F P +DEF power_PWR_FLAG #FLG 0 0 N N 1 F P F0 "#FLG" 0 75 50 H I C CNN -F1 "power:PWR_FLAG" 0 150 50 H V C CNN +F1 "power_PWR_FLAG" 0 150 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN DRAW @@ -474,49 +522,4 @@ X pwr 1 0 0 0 U 50 50 0 0 w ENDDRAW ENDDEF # -# teensy:Teensy3.2 -# -DEF teensy:Teensy3.2 U 0 40 Y Y 1 F N -F0 "U" -700 -850 60 H V C CNN -F1 "teensy:Teensy3.2" 550 -850 60 H V C CNN -F2 "" -200 -800 60 H V C CNN -F3 "" -200 -800 60 H V C CNN -DRAW -S -750 800 750 -800 0 1 0 N -X GND 1 -950 700 200 R 50 50 1 1 W -X 8_TX3_DIN 10 -950 -200 200 R 50 50 1 1 B -X 9_RX2_CS_PWM 11 -950 -300 200 R 50 50 1 1 B -X 10_TX2_CS_PWM 12 -950 -400 200 R 50 50 1 1 B -X 11_DOUT 13 -950 -500 200 R 50 50 1 1 B -X 12_DIN 14 -950 -600 200 R 50 50 1 1 B -X VBat 15 -350 -1000 200 U 50 50 1 1 W -X 3.3V 16 -250 -1000 200 U 50 50 1 1 P -X GND 17 -150 -1000 200 U 50 50 1 1 W -X Program 18 -50 -1000 200 U 50 50 1 1 I -X A14/DAC 19 50 -1000 200 U 50 50 1 1 B -X 0_RX1_Touch 2 -950 600 200 R 50 50 1 1 B -X 13_LED_SCK 20 950 -600 200 L 50 50 1 1 B -X 14_A0_SCK 21 950 -500 200 L 50 50 1 1 B -X 15_A1_CS_Touch 22 950 -400 200 L 50 50 1 1 B -X 16_A2_SCL0_Touch 23 950 -300 200 L 50 50 1 1 B -X 17_A3_SDA0_Touch 24 950 -200 200 L 50 50 1 1 B -X 18_A4_SDA0_Touch 25 950 -100 200 L 50 50 1 1 B -X 19_A5_SCL0_Touch 26 950 0 200 L 50 50 1 1 B -X 20_A6_CS_PWM 27 950 100 200 L 50 50 1 1 B -X 21_A7_RX1_CS_PWM 28 950 200 200 L 50 50 1 1 B -X 22_A8_Touch_PWM 29 950 300 200 L 50 50 1 1 B -X 1_TX1_Touch 3 -950 500 200 R 50 50 1 1 B -X 23_A9_Touch_PWM 30 950 400 200 L 50 50 1 1 B -X 3.3V_max100mA 31 950 500 200 L 50 50 1 1 w -X AGND 32 950 600 200 L 50 50 1 1 w -X Vin 33 950 700 200 L 50 50 1 1 W -X 2 4 -950 400 200 R 50 50 1 1 B -X 3_TX_PWM 5 -950 300 200 R 50 50 1 1 B -X 4_RX_PWM 6 -950 200 200 R 50 50 1 1 B -X 5_TX1_PWM 7 -950 100 200 R 50 50 1 1 B -X 6_PWM 8 -950 0 200 R 50 50 1 1 B -X 7_RX3_DOUT 9 -950 -100 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# #End Library diff --git a/Hardware/kicad/CANNode.pdf b/Hardware/kicad/CANNode.pdf new file mode 100644 index 0000000000000000000000000000000000000000..af8722034ea0f7543b1e0793c688f55fd3f25260 GIT binary patch literal 76333 zcmb5W1yr0(vo0DSK=43tcNp9~xVyUrcZURb2o`JxcNuhWcY+V@?ygDD;Fs^;=iasd zv+q8#R?V8~=jnR;o$hL@?lm;3lG4oVEZoR6LBS!R$eiSCIPAdDb@IHtVN`+|A!RJoZMa=Xetle~X_&3g1pFi38K~7ecEdr;TSoIm&G=Prk9< zzh4T*pO69o%~F3mrbb>?e|bmn4=LFkeF|gF-ie)>qWd6YXa0%sGHg>T?B`LS@IWDW z;#Ceb63@C&4Z?NDL#mbYe>oa0>3+Q1^t)NzeC{I)cs(p3?TaK{nN5AY1m<=<70w-} zzTO>I@4UUr{bugV%jhqEzXz2~qkI~9t6e^K>?_m=Y8e_$d3d`k#m@;-IRmff_r*o? z=+w5>jv`he+@ovCq;6;?sAQ>iD|Vc#;ds20<+Of+IsJ#%}-z36dCiOT$6{Jw&k=ZTT@iiY9gl zJ!=1HL0S^VSG0i(p7~t-6gY*BuP}9bM@cR`j zsZhA51QHuB3;vwzSwPexE3bUvb14PeM2#(wg{5%qt78;<&Fzd&p8oO}m)?^Zmw5wT zv?CN}`!Up9tYi!;LV3(9MMRZ2WTi)>1#yd>Tlp{AY>n}kaF~!`Pkh&x8(1H)rGaN$a7JrjyUIE`M+{8)nQhb zpx=o+fzhmwvV|g7XR9YFw(F~BWM$r~&wx+}!3)56f{OVytnZa?R%F1OZB@kbJ=d;? zrJcjVtEgvYpu}%AQG)aK8kryfRf*nnp^!-M+(jk}O5A5u{K*Vm<70Fha9A=tOKaWx zzlI4fEz_c>zY_a`7eeC@^|7pu8}}SP@~K*2r*TZSBEmD{5#fOOym^@LXIy*feJxq2 zYQog?9*!!P^Bz`#8HIngjBk4Wh{eFeg~7%mYxpn8-h{G?XEGxoF=NJJ>67ErqN*Ye zh~}fRsngq|;(XfOUefa7)a|f7ZgSBdN)gd&qZ+{Ye3M%IxGEC(99n|C^Otgv)vQ~6 zi8;%$+cHW4)<%z8kJcbBTS|bjhhHGRY>NrZgWOZrnc)$n{k_u4EbkVva{fuw2H~`L zRc}e=d;wA(!ouwx2`XNIv`~%vp(q#qYxcX#A`8&K zNe5mf+V?4K#YnSqO%Qd5L?8}s#jtvsU}Ivd-AlsSbPAD(@Y$xSr0aBeXz5O$!Co3KpFQjyguK7|AsKEh~W! zut}9g>Ypr`!?QpXiCS(blS&^*7W+Cpvo)pWhK@>@xDSIsmjH&~u8C4}&;wg3tTsug zr)U+6(jnICd*h0w~m!vm59Lhds5r z&En$lX#(O_(a3P4AvH~75RL@O#NlSW4IGad^Z~AHm97o4=Gk?+aT4V=wKi21P4m1tX!wsBHv`78 z&9%;n%Xipb6FwDL^@*j+cgK?Pq6Ijj*L=JQX-C3k;|wu0KEOJ(aZ?G-6LLM)+kGyh zf*Efo->o(|p_|g<=-KOE-S>Z-1MjZy$O106Bgt}dUWVjeHAVU{QbV2)1)fKW+L5rA z*6z~m(c}eVAWdg89y77O>}ng_CF_1+H*C-RHcBFocz8}pdbbh-{1bAv_mxLK-8B1Y zVnpD;T}ynwU;t?%pi7LrZq~-U>01ydWtD4u#))AotWEl`4+TQDUgien+%;(ylG@xb zH439l9ja|{N#1eVsvBQ`wEC%rGV z^E{#N5#kKO#!z)~4)(OcQ`ecAw)afQ@IG|UK4K7*k099Kl_*pY7`5^`tPy9436(ZA zrz(+)9d0O=53<5HWA{N&NB)B)PF@|zVPD6mwNdxJ$4#qM*bdqZ7-mh*eqy-cfv0Uj zgU$8N%U0ei6JCf64*o{?TF9z@OQZ^#PS6s+c9#L8A z-)`TP2 z;1IrNY9wmS0vS%82m=9ODu-ww@fy5VY4F=%B;=34hy-8rqaLeUkF%D3Zn-3uiT8=A z86=PwU`eiFo;}kr^%p14fc~vE*}g+pf}(yv{lHCr(ts0`AYAEK9bP*=4s%jYSi}{kd_X$z!|mw(ju(3m zA!|KJCpP)|9h~Jw5V2LluU-^(%QAu!} z-Smm$W~5h(@nm#wTg$%A_ja9g=Oz0S8W?$&%bF7Po_5-RpNBy?J3@(n#D!H!)HVGv8!#ts z!rlOPwmhF8dI@VjX)iP1>OyClG?)_?3e%vmfO57pmVmjAPd|lEsB3`gn58sQ5LE~w z$tHlf*|>706WZ6DzY}~HgZ)^3w~C!zAy_w{66&}WX(laC9w1!Y_13VS%QywSO>nAO zcWvfWg?D47AD6$kOL+j?8$JZdTBw$$lGMLjhHiau$6NX?I1`NR$1UEjBo@rRJW_qO zwf?cWuZ|)o!qU8fq(iU=eIu^EU;7v^_3hm-S1c?7SOTTUh`H!10cz>zSn)<-Y6q)I zcZ&S50ilp5Ak@r?`)U96P7v8@qJ|{ zbGohyVlXF?zkSy}gUUtO$D(AJmrYhVuWTCxLvo~QeaDH$nrD|++7tknJ=~DfW2$Wi zx$*e09xP8Ua2lf(YlP%sH%bKW>c}8Zqfd`*ixLx-*6O8R>WwL@ z*jxZwgQq#kP?Jf?CELRbC3$}_FXi(^@u7McE|c|5L}m-P^kW?x_(I&O5=x`_Vd`sJ zR13YHx2b`47r(JgV04XQU4P&s5xK9CZch<-wNoEd!V2K<>0!5$v{;3L|*e{a^k@0G1Xu^6cOMhl57)jFr=(fIT zfRONU?D^ULGe-|3mX(*^6r-$zU6VZpGE4b%@4lz(<9%WtWu?{EOFP25>Ya@F}; zkmqkht(T(WrJocEOz}cDrE(U@|1{}7On|c?g=6bdslNy8S`vKg*#Iluj?V~mr^LZ2 zm7JV)NwiMG{E1}0ZziA@RA*}4tH)!AwTo~L(VFK!% zuMy(7-SyrWf{s47p|#qWE6^PZIu3G<KS4PE3{lv+1)9T+487QR&g>PL4a zEmtCK=)*yT;$JNril>Q}M7Dz*^nUd+N#(L4{={smRN}DkfJTkR`Gw{Hvv0WcirS?) z)J@ZL2!DI0Ur5TmBx^i#;aaFw7c-^Jh-!iciiOi*Ml%Ktz965}?7KdjkIMdERCY(IUgn zQjmfBJ)l7NRG9!1(0EaY)1|$gJHcy${jU^HsoUW3F!RDpqEabtbn$!j2KQU- z04^)zvN3DMEYBianVfLKwXuFzaGT{gunCtlDIm!#V{-OSt&kFX6{CR2SAIo~TtZti z#xMeP;}u&bB4qB*8rCaHC-WLCUqrvACASrYjYPtO`gk}avxb94E_pZ+$vLSo|5{p+ z;wYxs8vZ7I-6kSL5_vkVc=dAR5<;8FnB0N$}jDYtd3-6_N`??D%6V z5sprLUZwrzNxe>p>l-fItu{zq<8H(vOsO>1;5PwUW{aL0e%m+&b3z~e>Mg@Y$ zMIG4RAg01q9pnWa$dnG&&zd^N3b(F-naBPoqu{u9@rXJtgi;5~I0UBI-|!Rn)0BdE zmw2H(QH}3DF<5|qu(3&<=AA*Fj)HQ2PUM7u{%Nv{S_j(CF2Uo0V$D(*wIOX+W#^~{`#Crtn)8X6w;pwO{ zfSt+(-f(alWmbFb=Hp@_tMyfJKsSpT@GMG1K+uV{*rGvk!wIxuEL6yy+&i2?3*90e zgzvc{-#dGboyMZakqd%b1Io_aR5^eN9HPsBQ+Prh@Y-0T8&_G`NJJF80C(ebSy`OB zdYv0}Ps8cl95C3Hw=_fR2sXJfQ|Lgs&Ua@^4N*NP>7(s3@vSUVLg_x>O8Zs3 zh#cDb-E)c!e69P7K0<|b{vpPm`Stv?`t>Qaq`SRq21^3Tw`~98>RrgNc%60u@Boj5 z{yaPe4o$jAM-6al!BR>sYtz8ymRGx|Xm;evT0%_!3zPz)mBO}a?5p=d ze{zhGDdX`l+{(Z;VX!Qk5R%4Zq>)(O|2;>UNVJr#7yGqA_0CbL|tP$4m{2=731K1zEO=bOKs?xTAZAn4x>Cy@%Yg9 z(oYQ`ZyNlN5#pwJV3w!@V*9eH)kZPzP_^X5s0l9PX3%J5wgTCn7=p_%77PZd88ygf zH34d1=m1ocMmN3OgHdDNA=Q?Jk<6@?7G!q8#9r8y2tK!{y4R{qdFYr@vWtc2sI{#4Z+Ohh>?UEO(TXuunI>N zTvpq4SYbjJb5ZwZrs>?$k-DKLD^+V4H7DpF9J|_H-m!SryhnM5r~|HdkWXJiV0c|Y z4%Fi;oY9jm(|i%7=U3Iwu{(Gwe=otXPVZ~QA{tCndFh<5c0cOW3RxwtTqUk@(y!1i zpG-SrNyj=g-VY=dq|zkRup^RKZz$;O5Co>xaUa00ljp;yY}k-?1GG*ToZNyx-)H%d zPXwM$=T879cHs2T1s8$xA76F2K)0kE`!2{mC0FG<{Ii3WjRKbKoOZFXW?|FUQ`Yh=sI)U1y%V&-5XoiRmgUrNm6(v^?_SQ84| z3~oRCae3A~c&r$>OE0AkmNu8auHAN+c4_)B6UPC+V@6*SHcuq8ohUJV;VK)>O*}v1 zFp}R(XnI!H%6G??w~$r`e-Kg^{GM^(1lpi!jdpm;KS6L$im^`(-#nQQ8M9Elm>1P{ zvx!e^CGSoi(oJ>(mVLyN_@}CJJyq`~p~mY>YoplbZRzHqwfp@oc3j((*9{Z!vNt9e zn2bC3654ls83B~)&l;5H zaQOl=HrEGp@G=HUPQJV6{d{PcXuBP|KkEAv1#lj9UVm zferpi4P`Fe$%&GEx&LG7J`9@lXcRDlDC<-t+v6A}1j?p`yMLj#yqzS&ZAvmP`5Flc z`15B|_&L(K>*3+fS#JRSP3E0cP()!aVVzSv*66CA9GU-OL9!dfpSs0 z%4JhYj})j<`WN;91@N}Bdlf<`ldFK>bT@VgAn>uH4$5b(Nt5UoC&oO7WgB|`tRmgg0+B!Dvb*a zM3&mdi4krI213rW4!IvSR$4dbX)P8nW>lznP;SH=3v5uNGN&HZAxiXh8QSs{2K#27 z6zwR4 z@#VtCH-5EBzZ^80cB(#gI7inN^$5oJ|71h3luZ-%b0!sy zG@H4kpm;nM{SQ7xN&Vsxzb7!>L)UjCH}UarKmBG@Ok)9iD@NJ1mR+a&q+c2a4I}d1 zuL>2Bm&0yo_e7vU;PYhQ^K(gj_tWx?y^dKb{4)*v* zrs;#5xMlRXmg%*?V{t9OpIF)#QV$FS(+>FrX>JEb%1vbetF`_-1?|=$|J@P(tEI>y zvq6qhk13GEF;*@4+kWM^3Z(S_9dOIXQsf00GdN~Phb!wsRsx%7YHv2;-($OAir}u` zCMC3i%2+BvK$|b)@?`YX1!MJ?si4gXh;-^RZh1Jse#KDOjB^1RZqwMMnd*3lI`Rn4 zo^#&f(j%Q$TCq|8VM(?CWp5!L5~aW_-q&r_72f&|5%v4}eKq8*-VABjXhtz7`3kUI zJzt0RCH2USKk9ez(I(1^k`OZnm!AvNUYUDq9}Yn!c>FO5C6SP}W~jfL7sFv=sp%in zk%{WubonA7wMvN^3v;Afnt9bcjfS7=G`?(iPnA~R_Oy8slvY;5 z4#)u)bU-mT^A}9@^37f48RuOqqbJOhL<612ey8A14W1M+Yw-15{cKL#gIUBohGmRq zjfGXg^H~%t?=6`>8deT!g@6WK93`si_0FZe`m1@0^$l7HG8FZY-NgVu`cr%?F1DxI zDvdN9)m79QY)W=Hy&Y7)_U);-J}dD`1OpbOA0}FJ*ObXWSH=CdO>m0VZud|7L`BE> z%gZd_sV_J174SUH8t{4}5^yzC-Tlh-a!~T-M66w}*A?-WbH7AD-S;EBua~T3IRSsV zU;IW|)$od?-A&5#BqyZMnr27|M*P00L4(w(NG^VG|8b&j-$5v~09S^$YSQ(3iIiRQ z=++Mkmd9f8+l`!SCZ+$b=cn-;K(x?lJvdo5V~DAi^gYQ4KfcJ5swl0UH7$l~@QSwR zj1pNHa7wnd)K-<)xEmZGTp(v)ti~a_@ zXQz_1X@e&WQ``%*>E7Dep`IU5#l=E&QH!pqX_2I(5I6?ZSV0FGCZ-!nT0Nz@{fup7 z;-m|bX1~ibgAh(>G{y?^Y1MoRfwNQ7PC2mp9Qq`4KHSP?GjtE}15eXE1`r=*`KU=sqoux=);D zYDxs3yko8`5i3B)!D57XdQ>y^8D#W`@=!@Up}#**XB+cj?4WQWY`zkGOB=b)-KTKa zUwr*tg&ujk6pNdGaLoCZG^C!fo*tzcBX7)UsA@UiIX!J7do>UJ!b(NPej-P?@yiiw zy$frC|CB;|*)6vAu&On*F+IbPnR*Gwi&l0CQTwg@W6=6#`H%aQJh} zl2R}O#csRk`7PB3`BEFVH6#;ZZ=v&BqB@4;b9FJW%xMd0h1)xd>4#duQ!jimG7`P3 zEXM5xOlo(f94kwkk1bYEr30`|fCcRNLAaaS*}!JFVLAot_g2sY_m|Hcs)C?GVx%JV zi87b+3irR25gr{80j=70FbTo93CoC_@(u4?#886XHw2BHZ_hbSwajz3yvIRu#s4j_5g_ z2^~27<&A>;nj9L{)pe>3nk`&K=(mEzY@gtb5p0t6wMy zh;w#(e!UVr(Eeh$Kmsh(e_9Wvp~_*FKhU5aOZRk*UuFTexKKdb%p)tR5auBP zV52!x*d`W({a6&r@in#kH%Fi~+O1qljkc3i+U`;+x7*0vL@{_{{_PdDLR<;BW_c}I zRpm#&Sfl7K2XY>x{L!`MI-%j*N-O%wjpkwqIM1 zlz$yuJ1PIVxV})<08M>c_g7Ocx%2Sht!}Q$T|JK#WlS(H^N=}7qRiAyK@j+?SIQ^P zE(FGyN}(WGl2=~rf>e5()Fh<2F!FmBIe@bAM}QYWr)`Z|#E;?qrc03ADR>=r{0v1~ zZ*`WG&22Z4C^u#-U4l^`{C$&DSmE?&nlP)#LyJiH7>`2epGjm%#0yVC+~!BWiVA+T zFYTE^jX%y)ra;y%W$BnEf`kSWV*^zxBXd8l&F14Q$aR6`p2nkySZ$b0L|9a_JWgnB z3xUa2D{T8R1~jP^KXP5qZ5%?I8TI8Fm+);LdF9!!y}r~rkvcSiFzDHeLC1Rn@cT0m zX9JqMQcb&Hx4u_~Ku>MRKJ0QFb<`CGixVKYS2 z&Sc9>%*#5RBKzWd1s6{#T$h^~(P537!TUOO4Jgh+Zl#j>DJyUFM>il9N2;3cf2`Z} zzUtp87AxKN(aKM-CKw4Pc{3%otEGTXo}96>I$_A(&R)C-2~ijE{uCP}Vl5TGE_c!= zLTFnmPmO2`6?fy#n700q+H7vjXpethbqfNu3OrW4_t_Sze{S^EPQlJ|O}5j4NU~tA zE4HfbV5KM9d9|=3lwPv4&Jr8ye?f|K+F$+t2$kueHZK%IVZS$==xx=UJ2%ByW(XTS z7nA*6dnw9B2uo<$v2lsquFgx|I7>7j#-9tyFNP^z`PBWW(A4!I zz?myu-LYk4cw`ix%i3n*YM$2_DKT3*jnr!;VZ=sxcJLeri!ibQZ@+ZRG69VjiO1ST z>aPTRMD2|-svsq2UyG+UYXCl40g6D`;AQ$(#!?rIs8KTp*^uslfWDP zi@gPb&|p>>vqSDmg6$O6m1X?w-^#3>A<6Wf*9KO)JFM2~uQ%l6*DR{~X(Uas}5^%9w|Pn z7=U#|^C}Pf&KSsVcpN)oBOrgPl6jRiN$wm6R3M-7iv9Kh1FwqY+eJhNqN$%LPFsC) z_Mz6e_dUeELgw`S&sk_5ld>?WPQ-hO;Q?feJJIJtmBUW%zimfk2lqV4%&g}vheWwr zNrYWZN$>U5gDBv0j<$N5J5$lSuW2FOML^SAi*%agCc=WIl69XzR8?jS1s8A?`xgHeO`=#hl3tXuF(4l z#-G5hyJo-7XQ#DXiPcdX0a=Q|e-1+zv)Om(jcTEA)~sgzj!hV?lX&bq-H>iCiYTv1kIvKmHDbjY}921dNr^3<4zjuav>^yk8C#RGl@^?Q*+9KrvUbT zR=)DMN)f99>zfBrGqGH@$oxu7}JTseEKl(5!kuDrz2=XgaEE4*^By3KdO&>vLl;&k%#Y_f?!-cVTA(^cO+GCmx7OBrTAz@|F6if%iyPcb-D$O@H=qzUmhE#H0 zSBF7aGU0BFc;4cEgCAjk2fJGuYHB2#w&Ks{_jsR#j)I|R4T5;#e>IU@^m8^ESDX9S zjcI-H1e_|?&P{G^PHr8Ax>gsx20z7&nF+_oR3KVKkA0g^jCRa*C7Vth43$TO+QX{~ zHf7IbXJ>@Pf3($A_3hItl(;jAK#GVvOB>ndKr{1gU^P&w_v%-3(y6 zKcdIwlU=@fivRDG&1^lkoWoz$vN=U!cz!ZI0XNqsU7YTDWGp7Tv?igK+)kI=^d^1# z_AP#KnSN-{#g>u9JU_J5YS+N730#AaeTC`idJ!PpfRIQ7T<#-xQU^+Pw+e}ype+-m z2335goAKex{!#RLAQc%3^X)Ym$Bm za=rusOqTZ428N?nBe6|H`aG4~lPCRem3 z$(P*Dm)ro8*?s#qzwt~zCFo+u$YPP7QfjrgbJqoN*L3fGZyH|TSIDyj>ixj)=VS$p zD=_Yfmm1nJ%@;XRxiwiMI)8mCITGBC?W8^N-M(#mQFFlz6icuJsP(9QnpO-$G3^=| zs=Dm2c&RzN&~A~dZ&X!2Dic@feO%dkN$|DJWAUWcsAA|k+Q*@aG_zvp;H0+ozf;@6 zMg9Bap>yVz>l4Gz3kKjClx)lgrR8YX`-K&0qQ3l}z?2gAd9zb65WM=h5YVOlc#qSV zYjkd{^SC@8;Pv0BWw(XLw+{Q=To5c@qF}o~in8b8Oz9n;LVd#w2D>%okCPlMU|W(( z+hcUgw>_BSB=oT<*#(jf)M-fGT9I=(r*84WG89Cr%6`A79AZz`+xTy=#d)%97)Vue zK{&=MdoX0G^2d<$rjd@^ZdzJVeW3UD=~>qVUboZ5sn?&=yW8-guZyws#Lc;V~CF zdu-d=&qnVIR7WO%W(oM5eOBQ}2NXYNTeENUMriq;%F6Z%^!GXnApt~L;$8Crf3|3R zdY{m+U|zzLFzutUZ#)dddyqP=vJ{+Hc4fii|2otvFfs0WvBX!YBUI-46?ji45>p+|LFZi{m5Q4a{3EvfjPGa0)@J)dZrg^)lao2&67gUh>0&O{flcbeEbHZFSH8puXiUlp)!X<(9G{$yu58wM zLA5f8Y!mqr_z-9jUVro%1rZB+mXOk}b`mVSi$$yOA-uRSKRIUxFk_fO>SKLO2`+Q; zWLI(@D=Kp^Vx1Eqo9??R2Emqm<_^n!xcp`#;H4-@Um#*f<`G1kKcl;^8y~D;Rk#p z3meo)IC6H&mQNk3NWbj6_So|ZMOo6TU2N+}ES1`i&c8Qn$XT8>G=F)7bJQ*!MsEZl^1p%+h1_Z`a&NcDCu>& z&kw~KpNk8)39|YTZB)=K?N#+P`bJFTF%*w>-Bhsi89pR`PB*2b8>F$6KKhd<8IOo} zZ7$2EWc1>B*-o!@I=@@uF$nYwhOtp`;TF|EQF8f{@ijxA^iMXP7Fhe7`_#qpSnD8_ zCg1vmWwPM`a#pie7Cq%`*=;Fz)U5H88Ww;eyx?4aF{0S^K4~9kDrB(`UD6O^RtSH@ zctHxVdX?m(_~yeKBv8dN1zx?oAW9wS^y+)<-h1tB&cE8;#@7(&s(;0n-~V1*b`9VU z|L-LH+`v|@R~iN~Gh7OAtT@@MG~|ZvUOIZlWg-VND(e3+ND%|GR^`GQIq^XatL6k_rzi-d3%A zfySuLb9%Y$FaJT}b~(h?w}VZ<052O7GqE6LeOgfe4|n8X72C<~A|52v(ejYJ>DN4@)s$kTFEh`*D zZD)ko%)9~7)dcpfT$w0N+-!b7=6hURxobMSx!Hc(ZJk)Ok>ah}nYvU2_d*a5nhEjO z{%c~}>Yu#ip32!mBiV}f3^?Vv@&i2@Z>?UWQPzGZWRxi%ZqFESgQEd($MitPj>wgV z%x!oz_i92BFtX(b`ZdbZ7Bm?a*W=hh(L9Zbw%W02?``!fxyGX(*qFh3C?j0i%ha~dt{XngurQC5xa)$PDw(&3<5cDw-b z*{5Hr4t(0>O$)#38j>&@%^0EnKtgCco1vY|{Jz#S^zC}gmw>1M0(Udu;6}eLJNgOj zAR!fxw6t8z60*0kf(}&y>kV-UFJUjV_!a|62r+2FW^n^h|_Zv+cdSz4Pw1# zJ|6C)W>K(DOt|=bnSa%OVy1WF-d_iu*VXpBda7#E)%L8dd;{kP>dIp*weUvESyHr& zm@57=aia9^>Hjw4zY*$86_({Cej0>zZ7mu&5G~0R$_k$faL*9B)#+Mw8=nqZz(sS> zyUxcoRt30LuDf>J!Bjc7Mot8^>a3da_fpx2C?9bmX*KL5j192DlA0McGo^xNe(Lkj zBJijhqt>Y4d1U1F(Z^LtAD_eA9)~E;!e@g~1eD%jmLo2sDciQZSr4(2etO;v|e1q#ZyY$437luJ1M1@XhyuZPhBZrMxYrB;{rs=VFr$>bE)rb5NZP(UZncoIz*khJ=&EL=_&+n1Kb*-2qbfn-Opj}6C*3@d=e zL`1``9wlw-ONx+6O)ufo?{()o@)2mix=s_p$wlvA<4l#{Yl#r@vyC7?)A)t#W(M0T zn=_W^^NK+!UT65VmvcV<|ADN3LmBt}zmvEo0S3p&<|+P2x0r3Hzo(^|kzQVofTL4C zWHRE?RDP8bV1UcqowwE%eb9H0f6gu}-EN^@6+Z7d=6ql-`>qX*bTQK#J;Ta49Jl*Q zB;)^2RxU-bsOBB+$voX#SNA-vFCPxsywA%=d>_mGzS33;CNE}>oKE~hv~hZ`r*~W^ z8viHwhUkCI|5r2Tf3yGZ_|3|zugw#iWLT&CbDd@%tKqli&pbH4`_T1fc*t??467XD zPGVBcIqI3jsyd^mr)$V_x^sX|tU5Hs!8TZL0ohWsXj(_4Xa=&_W2+!gj4c>z%%$Qw zJY=-blqI83fJNytW7T0wc*rW$%e7w2=gKPdfQQJVc{#6r9AVs%$Hu8%%smtBpFC^- zqPX6u*8iY*|Ap81c1~=q>=CTZ_|=^+5Uh!_WA67$X$XbZE2IY@Om1oaKy2TgwSVd2 zZ1J*v6z^{G{bF%CGRebu>POw$&>mknlHo_%A)fnat(z}0_6mZ1IGzl=1mpN5@?})1 z=Gb>47IK{_Z+9q9*Azl3gISLw z5Hwb9WUTGS`&fuHyh%M{lIe2^X!jRGs%1wTF0#MYd@6fjiYnHB!D&s(sK74Clcou; zc&Dm zSRWT%Clp}JgD^)T|AT`qbxjHOt-=f0@G7`KrZI~Q>j`#EDJMkkVn5z)oU;Zyk1P8( zFO*o1k~ihQllwuzkqJS-UN%=7rfHkuv9zprskt{D%JH_NP?JPyq zr<*A-hGEC2+33`DD_DOm{z0hYil) zWw2nly4Mm9JjkM8MW$UV{PKD_yiBP8?V&0SGAfFGyOaBxYu&K~v^X?sT9V8%`^~r` z*_)3ab(!Vv!~)<+%CqUbD#8R8j-HSz=e4OSxsn zEXiuUXP)7ABercp=Cmc~;e^edCSCrJ!QfJc`7}iKqJe(T{AoSnWS&yZLW&Zmw$Q~@ zMb9oPC4+GV9Ruku`=+HEsF^}JZt8x*n`JmlJi?1Gkg_uEqGMO}C9HeHy&{B2m|@+}}lYgJTRc^;(Uxv$xpWkvcH1sLm{ zZ(5To;SZ4Z__Fxx0%g-ImR6Ie_{X%ilR$x9Iw4^kfcY+)iyQiIp>k<)?2m+33De6u zxwFO`*6{wijO*%fQ>EKHz|H9o^F^g(tJj@fqk*}}UE{hlz&_=sH+Axc-nWvqHPzV< zaE7luF&@9;F*1>6BRk%eB@-lwtf_9)Zdau0LaTB+sOgTkuRfuF{arapS-BLcTvQ_A z$Db`&L^ZVqIKjNIQ4|)JNQz!3OU%tsRkOF~S{x}u{!iE zVC+CW(+HI|*=(3eAd>#z$&i^(Lwt^#C}~+Z47GBp>anzCz|v;1@I9AK2e@Bb&JrD( zapV&8P0R{TF;5&i-uR`ZDVI()5(|VVMP*|g(*L7Tn_rgabikpGs2g6 zy%1hx!YXg86Z6l(Aol(g8 zv^lY8o}cFGk=r*#T5CL~X(VTF27X+@mFo`GekA2SImM8!Hpmfu0&V=K+*MX=IgpG3 z(GQhbs2z;owQdS7Q%lo?Y+;;qS)%N2fw8884RJCJ;S9nD`91MP9kk{3m4q~nJfWX= z!B1JVBa{4zPg!DRXaEUb+u;bGQb~Y&+d%QDDlAfYrW`MJnmQuNZUK!0)^$&c++uzo z7iZYSM?M*LcPENq=>Gb~NQ}2h<1_pPUE8hvhRK1;ub_O?z33%YWow>|NB*v{>KBf# zhtX@F^lz5Nn=UPnUJt&TUXyMwzjm}RbwY~NIzZ79d+afX^%pMR^;F9B%PDX4CPa{D zz$|wTnrql!{4P2nljXfQRz8Yfz(d)c;!UotdUFzvRz{o-5S$GQE@X|LZM1JO;`RJ7 zAX+%f5SnXty4r^CEz~EsBIGHJVK`neGDN(G2_-y1CqE`?ky)ZNB)kZ@4ySk`QXgC} z=E*j5t{|<4!7<6$ayW%RoX<9yHpxnpBaN- z8FBn2OPX#H*~9RC>m-c6;`Majw7KD~pPWhajnNvtb*!v&wkb!wl#@snJUf-y55HL$Mx zUXn3zb7TKnu5~w9U*duM)V6O@MiWHTK_#T>I~+w9SFCimZYb2PFH2^zz6rlWAZmNb z1!?=m@aO3w($JhBiW+WIy-pzWu> z8NM;=D~lyrbsnsNm)^Y?5v;&sUgqeHp)V<`HTO|O&W@Z-L1ks%ArDKKc}>vsUQ=tW zlf%t~a&%GM3dy)jBKznI6**2JgMqUJP6?ht%8FLO!;W z@;fZ>mwyhV^>&SHHfNP_<*KnPMmnh|u1Yt*QFl4km~oAce?dYv+KtkU2E_!Y3glK6 zYVO|13l6J5WO3o4=q-rgWD{4(#C|P5pcEeb#EK=GEZ(yD>2*KT(ACqcrm*iL?DD1N z=(KF3&>ZGZHCR4zqs1DGfm3O+$q7zW5!RxLX_?fc*nXU!wWahVl{>oHKqn1X(-GAP z#dHLBxi}i8W>*J~6WUp=?W>Ndg^n^W!qw898Bb0m4kQEt^DYLQR%`?LtZU?E5F!7f z5)0r29#dof<0#FRIbLYzCZ!$-u~@yj+K{?}rt()VUfbfk-~h!JAK~j*Nl;m1m4LA> zwQnE8^0f3JK+T!9^3rE4QBJeCSeh9Y35L#4*IYL9ZaPQG-4Tm0PrgN8s_zM@+570S zNBU6FOCtx(!ClQ!F@(|}ii3c;+=-!^e|-*{kT95=1jJ1e%AK7zmY!&52h`{A(?0*&UmSY%})Hf%fkyf;mYeg#b-*Wb_i(8Cp)2p7sm;w z+4OfqMZ(vwe^OF>3G=B}qjDf#ZQ0?|;Z<{b27t)?)fV)XiOsG;_9|zt z!FhsRpJ{v@>)6vnw!s^%!M|u-aSu+T_=`m5hS}^@6aP>Zod0AyiFNfHY!Ub$@c#+l z4C7}^ub3O{q`xa(AofWEtxGSq64XLyx_gyIyL79ikvm!mRkTZ=_i4Me&~DrquUx%pIMRJd_Cq-#yF)R*s@ zS~cd>m&v5fQwpNh3F+U2r)jcR-K}bw=hdH4vKRT?5kk7?Yr1~$nuwUq=lL-48dd;Pu9Fs1Sh{ovQ=oj8lNr+9r zQF^ID`OIb`24rmcY5n{lRA^CN^06jj1T%`+p?rmc0DS|)y;b^Tx6ECI;)O51;iGog zsAqBQer{!`qJ;}UHocoF3;MQ^kCaiqWT{vVh@T|@pe5msJkA6}O!Z(7Y1$ZF)<30x z(caa&1gJzLDyL=AS3AJzRfWF6K1U}nNG~j1kc?oMts*Ai|N4_!+E8l>fdw4mY?TSS zM^7_$!b-qWq_1Sbe>1ehh3X$60Iyk%CYJ{(wxY7M5}@7t%r%xPt<_9$@Wa&m&sgix zsDy5iOgwp80h&%4%FdK`;~I_ePV&;3RIRFvFYa5#6WXhVhe!LUNVD3b2c8A;zr^HI{l;H)GXt1=HIZd~zK!LNJ0G79d0);c{C-XI z&bPiRx~q|7!Z4O+aL$`lp1qv?dJ7xnG{dYLFdgO^YXaQ&U(c&$Pc(8XoGQFe2nHl<**9nObT>J%%JYNq=M6;$^Gfq@hW-u=zM>MALv7cYbEr~u|zCR z{3CW26PxKq{ySb}(tP}fNcfVf+UZ{!>F8F(-kr~<$*Nqhm)TVkjgf4)B?TK0zeNUO z*V*I%b;d3?!B=@KN4h13UEiihp+%ewmIK#8SL(InWC4=JRF4JQfmg?H!OsnZ;SUQ1 zdj|?Fpi-IfM1>YgZcMM5Kios$V8PxH?NdBq>NkZJD--NCnQ-*)u7lw+;r46?f1ZT# zRE!*X0fW3tmhDvTL7r)%{h++SCE?8_fgIUwWWL@4aA%>3Q~iq+p`2Jl_j!&vtz2pL zwCgT|Tm)ODPV$ngxffZdd=VrfRZ+}bpxzb?6+n9Ai!S<(V3Q?J?2FiUN&=aqyImPM zrmgBsta9u$6pAJbXDzBG$lVni4`!U%roAR^J$N(gVp@kJA{t^^4^G)_x9q(&KQuT| zd~I;zZD*iZYDynnwk5d>v?TFJToG)D@yV|p<1srP-PvxZfxl;+;5jW6WUq!Id`hz0 zvTxbLSZd<-zjJ`H7FRGsW#H6N13e`^vIH`^^Mobq#J&Dw1>)E##G=)GFC zTV^s8>9N}iJH%ZjoVxN#{bo>dX;fl}h`gEyj>7MjRtkJ2F z*owsC$E4ksL5WCAgHz)oGzQ+$7Jb2BO^-Emvv{su@{yKge$RhtSQj12mYFu5jClj2cw6@u=s?d42K0pBMcjNjKyTpBh?3J_oGn*P~gtNgCNk zer4m3+TomDO2?fkCx`)!QEl|WXEddYFKO<3O{c#rE27IerS{4(6~1Y4%Bit>vUSI& z;(^L+Uzg!h=Hhd3poI;1H2P=*U6RPzCy{gakX5|#Q8%^ zu6Kqn8vako>3#(;=rNh%+V53E()|ke6WQrAEG2dC;*aJQ<)wu@M!DKniFYFFd5k#X z5nbMC)^d-=}1J}tK{u0j&}Q1?q_9DERG94C#OF7_u{dzL?f+X&35NJ=IRih`W0s~(wnzXlu}Mq zG_KWs-}ftq(}&(lXnwz74<#B1J`1<5;hZ=Pw`Q1rQnp)JrKURR7%5=`%~t^r{EE#R z5s8Q8iJ)9V#Tm3ZXI;UX{q`g1`a7faR@a?aea0Wnsi^?LvQDh)#~Ddg1b44&{4)Jx zBvxZbywQGjHXP0E?|MVQr{V9QUEn%{C8V>mvSUW3kA*cA`qjT9p+U;HepDOjfdY@+ z={Hz02A+m?DO1~Td-!;ociUroV1jegj**oF^oO6(=TW>YWZuvrF?QDAFIESbuLCkRssO2jPZnuYUU9)P!S1XOh#^j`iK4Wic54F4$$={C+ zO>b9TiH-9g)^{l|{(62wKf!31uxGJn*fcj!)~kfeAhoWD*S+NjnKjW$_pLCO@lW5l9~TCA#~Vm z$KjY%sC0I?yj`K8!M9K_f5&{CcF!z}z&iB|Ae>>iOhiT?5d5o#C%9Gx3ELl%Og?q~ zrA|enB{ZL=wS>XE{#!quxnYg zD5qFyy^7?3go_RKI~o5u0QJKuvOOmv>KCHTPtrYpzb{JaklGCL-VgBj`BQI27EvFC zk;v%OTZn&5fULgc^`<=v=$d#mZMTx0$`&~oJh?Sgx~$`1V_kgt@ymci{jKyIk4dp%3$9eYiH#3ICplN;Z*mwg{y&rAf`@6ths-!}lEOOZ8dY*@ zhQ3%1G!+aYnX#c%JQ{7ay&iL9B58+PmCZ-g9$m3Kt>N#GYqgD*V~DP^jm9>BZ7MFLrQGqQcpOW# ze{T3t0@2`6XVqS8E{;`F5>z@{Jb@Fu=YyoaCr1rUd>O8+^?f4B_|2RQ7{~R`65`Tc zQ%I^e=Eq9F*r*d#9x^ZU;%Oy#&CVeiRI+c?tyq6dL4(-0uE7} zw%%!0Zj%!&G?Qh}RF3v3W}QbkB-%@JbIQ)PR7}6)*b&8)L;m9Yp`hvNF)PMTI=|A< zNopHxv$kR@ibZgjWT^Bg@Lqu&|CB`K{T2A&D#nfK(5xj{K?1*+q-M-F`c4BG9A7w0 zf})>I3QJ7fPvSh)=&m0DPuw+?mIK4J-I^o4+N7tqjk*;>3m7J$h>Egop)Ou+2|^jJ zfZ=uQGMJ8wvXLZDvauiKCP*&MNAvfPgU&2BI9@h0A-1%#bevIsugBx-yb#@MR(q*J z2HnvwjhJAzTu>pMN#bO`A7q{##jngmm-eRa8;^_k%TpN#BzH*)BV%R7Nz@^I&EW2) zuJQ`{i;!z(85vo+s*Q20micOF&BqkknkP-QUbF-{ll=I;j{Nla^9kK4ZHgE|qD9SqIjqi!1jHpL>n5>&XBKNb`TX$x z){)tzPa@5S?e-yT@~8O|%0M1Yrp5GBkJ5L3$G0MNsm<_zt|>g61b5DkUZW#jG{O(n zOW61SPBDsU3jAqK)$O%3mnMyW$Q!2YU!Xc>7~G(WGPF7 z#F5>A$-Ea^?s3eU2I-)widsjnF!lEC^`XpL44{sFL`}I$l_i8z>^yus=tG+@wk)QX&m-*-;Zken&37<~4Oo6{xsn z^F@+V_;La(%krS6DJ5+6{iK<`p9@)Vf-sv2@ZndqfuiqQUqo6q=mdp`XHMOvW)9hr zt=DMruj$DzJJLb1%Jc(gi%e-pcqgA*?JG3fPHm3xZd|TWFSIIeBO6&zZ}s5Z(6sjo z?Q^kUbfyt?RyLPQs)!}tr;?b7ZpDfOtBlQ@N4itosCSxO4@%Fq#;88M*~-hMNDSE3 z{cMa&3kd6I&S);4K2JsC#*x&eyDE9YvAUtz8LG!(OlKr6tC_@%n(72NqTw;76Ys~+ zIab%ed|FT&OL7V)*+iTAl5ec`(Pn=-=S}b%pyB$RKg#cLFugGm9BegLqzp5nJNE zAi#zHT*#5{_)DD=V!?r+5Sy;fYlx9i_0_#dLQo)5o{q zR47YNXt_@nWD7D9izJ0GgMu^`8&_1{=C;JB>E;6?S-FqT4H-ZJxTzd_WHY+W@H@i; zk1H~7Cu64!eCfK7kD!~coUY!1u6-}(wyHg_2MZskDpq99!|3qyY%c^5S(jzl`aHBe zuq~}?1yL41xnsV358CX1b&$JakF)>T_oOhe!!!d~j3)kjoCH6o%Nx67_*uI(nVc_< zbTF4HcXp^8gGT^$l?Jz@*jB^<9UoJL;ph0C?58h#*Pz#N!%>fUYhr!|yuzprE)RCO zE{#k40A}#mfyc$zafTlSbY#!E(d9vB)LrqXRlSF#yCQ`_N~W%6C0Z+Nee?X#mxQL* zU#c2B&hd_EIqJp_euxVeVX0|73rJLAibC7F4}Q{Ab&26f6j0bLIkD;Aa|HP7^7TN@p4SDABHLuTzr z4E`>NaN4`e>{b-}EH0z7@FP#rKcTB#Hxv!iJlg`XLfq-x*ykjCr=9|$DcAdkx*3%x z$s_9u!^>D8X#gQ3tPS5!`gNSqpip(BDW%lv+trewQpL6LY1SZDZ7Ehtd389&b-)=0 zpEgTVcUc_6=tENgPR$C}I@dOBKO7#e41sAVFHt5}x@uNnx3;>PvfQ6R#`$l|9zwL@ zXrkJGJm;W)0&)Pti4zPR?x%6fYE@)kyocs*Q{25Fj9SvAo$eksUETTIf4Y@MbAqKt zv=!2%@5N|zL#446pp}Q^$|(k4YM@)vECoRO=?}=PrXdAP-}#aRT@hR|C&@q+Gl@1X zb#@#S3zG3p@XC6Hz;sXq&!HqyS`xj})SZa7@;ek{bPWCb{58C;WAP84+;7CjSnn>xRGd}h=3bNMcBudioZqxI!GvpHsB z-zb#jm&YdwANst-Mnujc>1jiWlE@+pCDu}f9X4$}3rvJZo=SG;1*_An-g9_N_E z3G%TfGkv@~elgNwPWJUuwh;1*H{M&))AK-Y`zc%8P>S-#C%o7inBs zwye*~53be@+pyQ4Q}(5QJ~F3FEtR`eKDHqJT?y9cq4fSdE8FcX>p0XJ$LDU_T3VvU zLvH+X)3!CY-b1Ov{uoBEb?V+jVvSrHQ%~_&I+5u$?>D%Vh6A1KpBeMnw0euj32$zT z0vqWPmr7Co1a9Gb|#>L_juMv`VbizEfs z2wAZ;$(3T|1=CqPvqWwRA&yTArqD`?T|qr)=ba{Wq`YurWcNDSgO&Cw!OU)C`qAB| z!)+|yefcy?@Z_}ltATLB?R>F!3R=qb@J?&%v6BCDBCqSb%X$CPoyEprU#PZFAS)my zw5Dx6V#`9mkeG!|tyPU?6?u}S6@wQD3*!HZUFj2m#+n}nulEt-pyaOI*qv(9Tjf%H zKI|>l8n|Ax34ZI!)^ewjpC~Ba-LIr&F=fzSigWW@DZK<2JCLoRxL<|_q%^D}!@vP% za^{YRN&?DOLHDRq)gAZfpf)Y&zO&hHr6PEb!cIPY(c~`kwd0~jE5s%xWc_H^@Z+y< z@p&nH2CdZ8VU4|>*SV_8*g={HZDpKeYP??$tkt$A$yh|Znzc|>pzx)g zb%in~IwdMwEg0hO*hZzY&XhIne9p?c?uu{HLX#SXS~1tdf;i(FMNbbEQN2Y3i{t*DeiCGU4z+ouF&h8>A}uJ6Oxg2S91H2@6ArDJ6vgKCa=|15oq)RIg)@G3_3Ij z@L+P0f&y?MZeRte;@BT96U~~Z9_6Fj-rem zKVsyoQeam2ho^pbc0Zgnc8-fR7ai07klPR3D{yv6=x?BYg;2-^21Z%2USF~&NeN3W_U#W##mA6A5ue8?K#k-XEq#N?rbT8yLO8 z$WGBrRV|qbt}kd;vv7IPGw9<;5e8}IpN_KQ603wQe2vnUPkPP840kX{#idKnQ#d2Q z!^&P0GQF@ig_mm1UISU=VV0_PviE3S{zyu&7m_l*5t3q~`0F>g@|odjcYwnGYQ+C{ zoC8sU(h*>EVAKMQDN!n==8M6CPTLm)?EpQ4o7b{e0eA~qr9hss;qHUW12(f*77AfBn03D3WSku73G9j)0)wyr`tOIA$meS zb-RlD3pWnyP}v;Il^poDCbW|0$|6?6&o5giD`{p5&PP=qFQ^@YX=9H&)!Zh4WCrKY zV~z!lIOs^eD0j*4=mkw*eCCjt-x8GiaH-dOWJ=A1e_BmoN{|)-8EGbBx9Jy;l%!jm zEJ`1DI|Nz?A8Nt>;1 zg&ke7wyj_G0W*tyf;XG9-L>B;@y8}2Ght_&6?~@BvP;nd1EYyYBkJK9Agj=w&>99T z(&7%KH+*xq;9bLXD*JGEVfomVBqtb6pgWpA)&Pp@g{nvnwTw8$vVKgCe$UjrME~KU zNFLM7IBQg)Sf6gA0v0tc55hSK$Grxl3s_zbx6dk&viWjXjHdYoMi0Vmh&Y3qET$ye44+jm;T!`}?46mDTyP@cU&h=$so*bm~l~?+|mTNNlKCj0u%c z%*2g6AOm6WcDQohDT5`EGxn;O+l_>HOa%pmXdNou;7_WK^M9nbjLd2fg?eErW*#7_ znd)jIs=2N%^{+JVu5;yJL(pWaFtm6rmIB1G^B^7SmD5o*WRKBLoe68Y>}ccH+Fp30 z!%X6|2RD+F~giLJq8A9fj%yuTIciI%MZnQnDpC zD`dz7W2(#qJi4ZVVPlYws6~=gqfx?C8*@>y><5DQ1Pr^d8#{Z!6qmhHgODj}qpK~N z=S=ayB{dKg*ZBrSS{wNC7lkE}%*HbGf$%eP?bS+0OMFiyX*Nvrza?2_EW84LD2LtC z87Hza58%C$>P){UZ5vwie~Ej!E>eO^CkgwwiFmmG^F*3e14d>SR0d!N3BB~(AY>7B5I_bPE7_ZtPbJ`*^{o4`6 z6XFFskSbeYI?|}@H2*q7)zU0dUy52&<2d3JkJ*uwuV{^W1_u+9W>mucS&Z^wq%dZC zqtl5BUZ)qr?gyo;C4pg!vt_eALgPI`55WOs!2$HYXDGubp#O*!&M3rzDTseKIIoy{ zG#@vT#E%ew^^sL>JKs@HGNRv#$DjXm3^||Ahp^bHwdmF-^N=U=u)hbC`R|VS_RJ#; zuy5TJ{cm-303Rex&+_!nvS+UwWBWFUhg6g#R?v5;?1nu^!U->GDJ2QU~KNyV);iO8Zn1&XC^lJ8RGf z>eE?tq)%>w0+AFBM``d~o?U^oV25 z1+?EHIoODE&XpYTulgt64zPu9_X1->oeGClfi>8w!sWkq-DbmUCBY|+T78?FzMdG8 z#Vhi9R||0U6tj+T5k<@O@`K3_eTj5$m}5e{u-AAWK6tXEut3YtOS^hLxiSVVM^{Q+mlbccgT!j ztjMHd(BkL~*5I%A6S=C$@$wgk53M$sXv_8q^#-Vxdb#l^KdTaYU!9b-AxShRO=~Aj-ExySZ@ZGwdz_^1)viZ&+5!WaCP$w9D~2)a^4JNzgyN6H^Y1V5yHJUth6pYQe7 z?SiHKK(4*Z169Xr0_?B9%g)vul5=1_5yWXZNL06np5}&FE*LDCC911rd5OPRB@;QP zBkQSGdzzzt7dKJ%hyZ?Qrel%oGSb|s&e6)<2f`}D(dDEfW;oT;z3K1?WCVcF+^W^V z#7Q_#ku)nHTlLrU#ssV@#eEsd5RzeUC$9u+_xbRQ=H_~@{s*<=l`@9 zrv#5D-C`Tbnl6O)ev>Gf7FQO5+bj3*V5+c=wwxVR8Y2Uy#7;;^7ey8?O7vUzw=lJj zUV%#;)(mK1D zc85g(A}Ag?C4IIA$W4sMGp&?(@s&du`*aFu93Sh}9E0j_PjRk%Wer?tws3R)YY8B06cs5DH)%fAf&c*%K03Y_zkHGblom6&olYAaeUo3;R z{#*WC!^Zy9N@}Y@QII@|zp_yB6w@|(ek>{A{$U)yV6nd>qX8!8?CtEZWt2D33zuR# zOU;gaItxELC}B5-Q$0Bkm=u3$)n`RDCQ{s-Z8qU?tFFu8B{5c|Ll@7?$7>VUEJo7k zu)X&qr5gM02sPjAPGr6Arul}PQH5yalcVo!VXNVS>l`5c6f^y_W69NH{@;(gmBLoM z6bB=#zT-lxz8$c#!1g?SBT+FnAC6qf7u1C$CCXu|J*1@17HBM8V`Mrl0Akk_KBvozKkbARJolSyZf9 zufZwPBhdr>;c>V$(?vG<-PbJnspC&^pBYA+E~9(e40UWIYh+!O#NMszu=dy76YYt0y~{~c`Cq{ zvq;?Ck>QeYN-o|Lj;S|Xi&8agP6zaBWFA~D^z>X&7&3NY3Y?cemL8FA5ERc`Z654%la`08Ok1L9Ac6L62fVoDl#5E)8{e$Yo(#lFn;%$*0-+rRjxqh*%DW%YRjU?pxN-f*ABX`K$wI0JT=_B6 zuk!NeMP8W zP5C&O_i@AgogrG|(o$F(jr{lKPHT}$S;gX~wv<8IzHIx`*9F~%qVyIG-&F)0NAJ&> zzBbP%xq&FwhXsDn()x}i)%(3XzFgd`{d_w0zwaG-BU~q7#T|d`6xl|mgy_$*J?5Q% z=``Q=u(qs& zUJybYq&Jt`)tj@ZWj`%1%`wXED_B=huw#s8h}~0`k2d88P%v~XtmTNIwa9((<|ML} z1iEoK!*!-Jc{6cC*K-N*s$ooxE@g9JBRU$nYY~lb1XF54BzLZhpIGi7T~5T%`T&Aj zS_IL3#1#4wN2oX++olNF;vdUslTp26EFw0E$+(Tti`Q+L-RJ{UfIHO zR1|~9*f&3r-u5&PV#8jK=5jiu%7(8K6f7dksAGhqjMU{C(ad|{amLn; z8k|;t?s7PpTE7DgfjP9;g`JWBO&5%xAMTrq-LbKhOw0SZ!(Sof3AmIp3EMJyyT!)0 zDXQ;=WSSok8;%}eF1hp=>sqX{ZsQB@v=^lOR42*(;wxdj8DR>}BZXmQ7>$vq7)T54yXMi*BoM^DS5qBGV|{*4(O+ z?&TxsfK)xHXiL$8vIWBD*^f{_#^vF0j2%^d*v9_STIdmiNR}ZxsvV4btzT%jDmNfk zH=LnyL=3GKwQQ7rzSjB~@DF|)92!$V=skJW`Eokso8hZJcmEh7R8_%h{e!RZR}8iOV3b>N zl?Q3i2*OVKY2Ab#jQt?2ZTQ`ItItPwP&=;Qv0J0HTjS9H@I30BeY32w^z$X7^0i`i z-L4_v9{!;zx8-%Ol{w}nJStClM9QcZC^eWbH6u~;M>J0IHe|WmnJ1LDi+8@R5TR^h zLGAK0DkY#(8&OU)plBHPnwu|ogc9KajYi9W1%8l0kJB*^;`jT#TUIxG|>|#kAp$av~ffF|15}cA-CcPWMW=xxN&f4Pa1Een?I??azU{ zMV}SrZrO8}GwOYs;(IlkR2p0|F^A#Xt|UN%7Q^-C40a0%I@M<^QwvqcjIxs_$^OKF z6xu4W%UFE3#>5p%S2o7B>dB;mF|*B6a(k4F7}4#=&;1>T4@R}DT?f|j)AYIO_l0j# zyVs$yI2!QQ?f8IBl=bCAkk%1@2(EGopw-cmTCC(d5!uF`xkyRF*dw}VXaJj?`#b!D z`xY+Q^3xZ+KJ27S+)$w^C!u$14~OsZ|p z1Dlf~Y+OfJpt4H*IK%=iib^(OV_Rj;R^8NEwKNi0yKei;pNye5d1v8e^1fEvpkjB9 z(sP}(w$?A){XUoWptgVu_fAhiqc$?ORZKz$r07Pt{w0{LlfPmnqc%5X((s=_UA?l) zq|b+8=lB59XH?1Tlm2(8{yS=BW42oH-G+fz3sKo*@f+#WMYK-qzN{Kv7wdf@yJHYdd@z+an8)aeu^AsgA@6$gv&!0h$<-xu_iHk44Aj0p`SNb=_7|e(2zsF zc-F2GQ?M)Vlob=C2y4;!5`*KmARCZ1!i`YMX_3hcqG5%pZfA1&1Sc9fD=!z2EP5ch z^JZ~EOSZ5WqFKou3Hl;-L799x8c7+*%WdE9-j>?a^lq5Nn^by5N_)%t?bNRrMlSNu zd=eYQMKPxjHzX1lGY+_YmOQA%Ajc1GIo9JYf*NH@dNWAgL!J*g$xfS&aA)2c^ANat ztBj)vWqU6R-;5aIQL6jK-nW7|>T!a7t$)9Dc)}Fwxfpg*Z|PAlu{fHI|Ju``eu^eetTbvxhh@sVuNPEr zMQ7i)i=PJxGXiW89`k-ss_wPe;xC_x7!u-MNw((gL-oYfFZ$a`6 zF!vGM0;_r)U8OZp{4{SPAlA+=2LHNEZc^QZ<}I3N&hO|>PE^%0iNt!A8#KxnviO(S z!8IQhp{gme%eCm=_eWX3-LAffYpn1Y`zPGx8qEFK|06#8t6%#I z^?w`QK@tBekN*Jlh)fZS&03zvG^|Xcf{c+ z!>Lw8!i(L(xQO~L`rpt{?v;O3V`*3xPRD^$3nQVj1j7)_2?Q;tN>8y7kWdVH?> z&ToGhkxhdwqWTEx6-7yG1=x?Jwnz_&x~9+$i8jCo2t@^&J{;{vS!@0k&|mHIUL_w@ zK_bAmFoB<9L4FXriBpJEO(eMBp6qutn_>l5qRSX^MuOsG!$<-ECMgx~k&ojvW5Lc` z;!Rle&CihNW^Ni4NSn6oU@&jO$cXJ?@RG)&aO#=;peF}6{Ydtv=}0gV!_YBAHg&~Y zx$slsXLsmFn117eC`uJ~#qIeU<&Tj0!|Zb#4~KH^V0sUnZRkPH2Eu*a26ORFlOF8;;I$0-lh14h9E(%m__C}v~YH18^Vg428 z@iLJNXr>Sygum&7v9;t`X&te~c^^@aSWMI4?Z;qv_r9k4egF=?;mxiz#=ln3=EP(05fp_&Y0vd| z=D_!Z#j9gUQtKB7f&R1f{a^!5Y2)Q%Dyb8}Chd_IN5;+Ft0WgoMtflpJeb?lfXQAs z!xzzxA3*8L*W?#z9Z||`abbyGpTur2>|5{2^)}S$>fnWqr&zleEX->jab?{wW|;JO z`PgiXSXOjbrW+iNqjN{rbU&1l3u+HYcj*N4ukVsNx?ujzG2GvX1JXIS%tz*}kki}V znQos;6It>JXsr$}4_IvS3|D=Fqp2^dvca6^enCEl?nhH`^f-RPRQ*S=W;{n)WrH%$ zjdYv_=MF|{MeQF=3)d}g5o+zz^x6>1-Lk;9Qx4@{xLx5~>T9=ehL_!ob7C~-W~gas zhgXi^FE?lGD~GJ+8Gd?H1kYQqaJrJ{ggoH^m-g%L#2!a4D`;HpvjIAsjgub9c`P;9 zz?!L~Y3oAOGRU&a408oLzDA>t?Y|Z{LkZp#taRfGxx!sCXFIGrINnmdyMYS z)F)joFO=jqcr+tggF@I2=(tG#u`|6e zWlxdh@p1eKt=-Do*nt=n%L~3|R5No(Gnh}`MWyv_v+~ZJ?tjaFr~sI6-I3((Zlf{U zfu+vFzy18oEF0?b>4Gz4l=Gt@b!nq#BdM&-u0?Xcs%vt1ts)9tn!KmgZVPi&G@|_K1>q)##-fA`O?w)Jm6g&r z?@-biMgzn>5LqSmBG>4UckWPv`zcg^3mZ?ji5_gYck$OlT(hf+>3+m&Tn|mY`0;U^ z!KBtI#ir!(wO1*{HugY!M8}C+CsHWTvk>(At=+=>t3AcskK4cW5a^(1(m8DF^P!UC zM3ao&#C#U6?2PFLGH*!_vfCm4jEQ&KiCZ?rMMTh>6LrPgAt&Ep>>wpY3}OBxU*`-Q zmPld#4n>^_dD&UP>O74tLvV5UHM^uIlT-9!df)Ic-N>MzvA|=iLswnaJYq)cY ztJ=d*u$uV?Xr}f5-~ZoJY!>_*!9RHaIpO8M!2er>r+*_pT=_3^aK;}B+*7373I#}G z6vh9OYinq*j;JNjTtkBo`>TNFdgs(WSHl}{urrb_``$3YzZ@5PL*re0)it)0cXh2q zrX4A3hl`(?PcL2Vi)U2dDPb{-iM~p zjR^}o?o0tSxR*0Sg#~9H>*xZ z%{g(tID$q$>hVAM7Qfvm=R>jMWxD8@s7$GB%}uI&EKRB`de3Ay2g~FDyk8@EMz1AK6kh9Y4p0U-3SWy)VgeDp!xJmzHyM`0eh!c}O?=Hf+Jo z6w{o5MgQca^pNx8)6Dc9MOY(=)OOB=vbg0|?);=zJ!TjO!JNSxOK#T|PsD)B34^2u zMZ4Wu71umr&5>3W9nLM=2&tTd2VT-5VEc@kqEC8>YMHrCP$nhM_`8|WC1n{@o$dER z+o3<=6sMg)$W#V%M@PH(Or_<&?QfL7|Au!iR;w zVn_hpQD&S6=ZA%+FYD!d2D-QS%4$BfzD>hZK9~|aXCIYNemq%GCy8U#*)@lnhT4yO zagF)J>r-`ooGaiGnN(tYL#uDm$`+c0FlNS|W18W!{sCe&IRv(wWAam9u{R98TtxX~ zZQh!-SSp@;?7p|NGK%`+L_kAp(j9}3`p2?Ks1SF9G>t*{mqRIXk`+heeO-yFld+Lj zFTFV8!-6cl7%Goz9wezJK10nS=TggFF+1X(G|m{x;rY;#+0<#r5LtH8Tw)4hLbp_; zMw&yo7=1sT@;ZOKRY|_sN1Y4QCl8k&N0{XrE1SuEinTD#iqSlo2{3ncg3Hser&drV zz(=Q3h-Ezs-%$yzsR-f!5(}+ok^dF1Z2Xt{|HkbfF;-I%((?4_fAIfD@?Rwj#s4Rv zzv&-%{0#)|Pkh$_K8rq%Ns@KfbY$M#V|9qt?6*?ZIqR)dU>9)l2m0{SDun8N_qgWxsJ7Fz&+WAh-FBe}B z)dMcS#p<@xYdsy4=CE+?w?UrmXM=Qd>&0fgO>y_b!b3BH!ZQ2eA(#(}E5NH61)wKy zQ*pafcjpk|JUBt5Va>0*Gt=Ggd#($0N6;DWL-*qd1;y?9>xpV@ygFutNO1g0k2Crq z1xwe6VJ=V9W1Eq?NMxxB6O7tt#UH7%C}Gewp4Mw6se;_n(F-4s4(HDn^$9x{u@X*~Yms%sB#w!-CV3%pEe8EYKoO%$ zGU;x6&>eZF&ri5Bp@6$LosY=t?MUaVaGqx{ZFjF$eO~<$|D&h+%V7P{3!irSV6FSY z|1qS18r}umJ(GH_z5f>iR3QxeM-lgGRqE9l)bY(9`*s{p2gyeoPb`r-!RzfzJEY~@ z2^V;zrH!gqKs(-7%yIat;&#+vtiGp$^99h?hHGpf>fBsUK&7nAmlGlMcQyxBj^J+f z7P*p{d@Kv`3`(la-*1TCWRGf{KPed|yivh6r*!ALMDpH#&TY(jR=+yc?hx1Z`RW>b z9P~BF-uc6iO#3d*ZJZ3c>>Tvfnl_&_Eae3I*HT>n1U1_lDb-l|tdL3f( z1+~(0U7OcpcrrkVVWhDrBRL9-D*S`5PSDOd&W=YhIHM+_3HQW`NtA&}|8K|P>U9Gr z;<7MUl@7nzivRHv*^nlv!yh3u+V2p@98|3IJt=SDIb%46UnR`qnq+lA6Qjw`q(NFe zdD@e!aEy6mzX)h{ZS#RR{xkm-aa=#TNpM#P}!Bg6LA?A=9Ma=;7>Req~zZY!@DY=)As4odUO!>>2yIeX48Ne@qZPod^HZ$?F^ zz6YAK!GVnsiM!Cbf`}~PIVX_+O@Wvi_$}#|aTwuy!@$~hO-;^tK;p3SRxHRCz>qwF z4s55;RP=eDuX@Z9lRR-BI*R;$CKCV_@N-oQocd}q3?lR&!MVF)^j5cQ@jA>1w z8xusDeceyS>!D^{l*Yxpk)9@4<}U*M>RN#c>v#Ti+4B|!a;6#PUxm%MWH7v%7ss!P z(gP9a`jU^Nsy9;tM;z z>s(r&EuA75abh2maNp`5(^|#BXxR;;KT3oczR%ARlfI{AAVP?tDkWWK~)O%m(-_BVvE3YBD$cony8i z?pWNp1sc?-c(_?tz0Q<^1o6|w@p zn`l^Og|$?jC+!cujHY++SG8A(hd34`%;di_KJulR7p$4`1|}tG zIigmckv4w?=q9aBKj4{HnJimb!#B%#cu_$k1 zXlg>gh6#L@J|_Q%^%(yzdp(^-$!;Y}26VUgdMV^B>hUwfAsM|VeP7i zC%6y7Y%i5lw zmGU01xJC9-``Q|m(Hk>WI{!3u{o zNok1j#gSsuPT=MwdFKPhur|ksmj39W30UFl+v4KAm90l7Iuz1XZ0R5TJvng*{F`i# zbr@*ed;vnMNH5Nx?xrI*6u1I}^e|qW1@5M=Hx%Yqvnp8{2E|AlP8Do-8H>knKB?|G zi3l#;3i)We02JSF&jnE6Ie6!<4f?mE9d8qMb}M@ddpBfvE-4uVin71!fSm2^z@g(|BMr^(eIEFaFg6Cuhv#J> z)GS~g?frNk;A1b1mBro}jy*$@dU(LO`Znz=lWYo6lVj>O=k7T)Gh?=RL@AR}rR}|W zH;!o;^~X0e1-?X)aIg_Qij9hj-GrOoW-p)qBe@E* z`oYh(1Fn_v%_{KLus8%!?E17v5e*|m+nq(VH!z2g^xbS5q8JB1KCcZ~%275bAvfk) z5yufCp|l~`y$Ik7P;UH0Q*?raMA2Lv1iQt&F}zrnM~~3O<8`S)Dv|rDN$EME$W6a3?ccJ)i`;RSIK3q1@)6E&-ZV0to$?_rFCKuUYWN zmV^3H>3e7dUv$PkQYNF7rr`jyr^0+~8|Fec=5oLMpo3ve@=KNx9;N)ai}l_K`9}+U zpDwI%juunCS%3L)5Nf8ldd`EMQsbb{MCT+ur!G?l?A5hDCf8OQnIG znhi`^_pKmS4Ie1sYF{X#Na<%>L}-RT(B^I`+W+?>82MAE#>Jemebj*RG1w!oJiOOY zIQJ@946hJ_D6K}UBeuY08r8MzV22@DBC?xct;f^G6}(g~Y>EDD`|J3e9P?cTyrQeS zqWn>BOS7-W;5~N?H5AHzD&dK1Ktq2hM`I@2kNNRY=27@S6W?NQk&ACawxF03r6#T; zOyT?}_X(8!bTl8}zsDwXO5Ni}?c_YIf=;C4I>JM_FyLwi7Z%B=xgBn|eg8S5{^U=i z?<#L^&#qz^H+xZKeMRfpvnaAep0Q55w3M|qT_YH9Ab&C$BC9+}vF^8C8;qh$8nCo|ENto!u;eKQ!*EXwJr&|o09unsC(xT%t zi4(K5!xsQA$2?NfF;*y&XY9BMGO9$)gp{Oc(Hx7)(-X(lP6uC9j~PjI8Y+q;PZgkx zGrt~mJ^5bS32o}K{^>~cS0u6}DOK<;9}&)|Vtf?#-sp*NY3g*N`iWpbw!eZQ5;CNbQi~NlZ8a?_R{&qc zlEH9E(zI6GN0|%3WD_UUm%ihdefts|<5_!UrwFp+t;*|iRgd^Qiqiv{nJJ$E?D>YLe$s(F zDipMwoS!0=zpoVLyx2Z*59a?U+GTsVNR@3@AJ|pn$VR(Mn>YW><65BD0fK}u7uGjh zxEnYs$dQ=kWoL9Rwn<@1OIs(<-oXZ7d-I~+4jkv$HYvPbS8e5V{Y+vapTBDUjF$o< zouYDv#PsW?!tbHN?sDWPNd{BHrow}F77*F(Zj*vU$`47{`Hy)p68>Ex+lOG>Y{%ANaXmHQ;6fm8o>S1Cwv zOr?d&0xdqzVa8R1=TNuAid%!9EJW4iflE4Tg|J9aXL?$vGR^pbpn#Ha@2kz(cQ$(< z5eNE+@@o7EPx-|m+=?0S5LF}iSQoAGNvrCQvWK%is_!xw`}K6_MX!SjqJz8TJ=+)d za<2AAsE%ePIkuJwMc@vV60QW<1RH@wwLzS`w2fNUlSAgSEV8#7$hoZEPMkV5l|9b3 zMl0}|1i)UD_=fi3yYLha37yTZY(~NUpEc=jW03<|hq3N3?IK%7kdCU==CR25XigE9u$OLvF2+ zCT%64{(Ad^Sv*O;jUGr&mlM=VxVYn34Ly)p%(XtzMzV49TAeO7V3OWBbgZ>&ok`X0 zTHi4(a73LkmuG}g{;Z(0OvzplKE>_AlY*lMP!C|Dq8Fg7B;Z!E(gDfITdw_@3rtT@ z@a%G)N@6(}iJxu^l6qb0vYAREV?DTZIRm;*`J!ZT@Weip<6Vo_2_C(KYhesqcuQ@T zjl=eSl8cDkD^u3Qb|ji?%v4QXnMl@+R|!qXWp8#NLCjbMnmFVkNHJPO>8sL@F1AAd zVY@&XSFE9d-G!WGe!Ddi97YheyglM%tV9l()Bqy`|+Hgq|K$k8fi{^BRy?aWt@ zW$l49Nfp4o1*rD!OHY&(#ca+5?=ipCuhMXrM!PTa))5(>M2>MtC77x?9EBEuVU8ZoGaIbuO zMmB?+GQCwMr9~0Bm`Iw7j&*OJLELje8b3@15^iM%ChlGHJWyuA;(v@ zJVPg8Q`+b`qsm*VBdicS{zZ2>WswIo@X3_twF{h)&kpAz>z-~RX9lwvFu^aUoE zVe|R<{bJRK7(GnD$(rTusmU4G{al3R5*OUP^mKpqmtQfVWTljG!;?fF##VH~t<%3f{r%W?R zEpNqj!k*4}mycETWOOlXiZtiJY6D4yv-h7bg!V>Wb*qw)`Sj%>liW?6zWUqzZ{4&@ zmz_r|2&AamtkM&i?i@Q&g7L$W5;SNyWTXbOe&UD4T-rx`B?_5LITFZdS%X@t*6`X^ zShvL#UQ3awqFQ@4gtON)2tmNJ zU~^u^11m%f;RXEVvj6#E<&9Z8){Y7$8orpa**nmNFP1Wo)ZD0&@ zpwN@?gdD2e@2XZ#PbPupVx`pKnTJACv8-BJ{I)PqI`qLc4>#NG8;P5N#a@-@7`&89 zJE!Gj@pq9Em~K*&?>Dq;Wc&I>u?pdSy#MgCHq%uE`cNh3{aATQtoL6*6LRJyvgsoY+2nK0kCuDf?nCuNp6MZT!@P{=~EUxW4NJH(M67Efu1)NWxyP?Q81%i zf|H)5DBaK*udF+sMx}y{yPnlz_uZH8wQ6FN>McBW2c=0`p{FLp;u7LO{uiBeL6f+C z^?rsSWOfB%k-1B%ouyOLb$8eMre>#x#^3>W|3eYLH6cwT0sPtlz1ZzYLUDon?e*9L zd702wq}zpWm!inH0^J}1&W@L|$awb0y=cXlOUk$R$ROy#v@w_Re`ywqG3+#dMc$z} zBE01Z3`iIG5BXpIUdDeZP(hEPj}4T$!-C}r?h9xo92kYexi7_Qd=%n%WDj}T^lDnb zGDn(q`T^ZMBv{ z-V-A8&HHtMq8Y%K zYcYjD`E$#&VgI`_yB4n&vIDH7R)6dREb69MGPUK6P|ut2*YO(&ZYF96_JI{}yO78rg-W{i=60~U_GUsWCnqI5A zO;%ZdbD3P0Ah3&Gu)bh&`m#LF=f-^A#&G7uAw8mX!P54T5~*`DV`lh%ew~!__ls+Z z-L-v(&AXG*mr(_V-$GBJLO!oqFE4vtkA08p-eUu>(C|5~rpu|-4R%BD)NEX(n#%c? zZ3(p;LX}n+Lh1`PVU)EODP%LdzUlc^YaL8LCRai#(hzzq?>1L(x*BQKWp~nNE?mku5+r;V7x9r%W2oVeO9Hm>Ri&ioc%?3dAc00 z&6>EhR)Jf^#9;RNy~2GAWPA_;(k|?I$oZ0?Ks$Y9h&lYl0K1f>pfX^92TSH4TxNfe zKDXd@Q=3)iJ{PxaR<%A?I+*hyxGU22kWrg8i*v2sIJ%&G*dPJgi*z>CwQy%t`5GJz zoWL6tfj8r^nm=#+60#d=raEl3JhNp-du?#)x7eg|Z!cmW$1LknK@CBYH$?ACFMlF; zdt%RQ&UV_uX*=M*t>D(eiVh0!j;GhI(e@=ocm8`Ja4%6?5!wGZXGBTE&X!b(To0#L z)PP!Bf|i=E04@ZwmKBk8`%ObQ!@aM-XVI!y{fTL99`$lad%eby1!cO36!QGw4Fcu=){-BmgN%4=|6c3mZ5IXKY~?`a=By{}}`F(Fns z;%F=5ZP>ctk=CYm<>;RX9k!cYoW0GPXT>D)G*;)nAr{c@ieM0siJpv}XO15~-;a9@ zi|x`0w|;f@NJ1n+^I_H_b#mVuBIKC?jJAY8=Y0nC}-3ww6L{`tmEYF)aO)QKQ{+wgbuHUdOP~T4DyTbZ&fA*Z(Fm zpbvWE%U&KMM!5^po{$tU)wMeZra-iq?VOPY+C#-yXxt`_fLs`%hUJXSZ`?eLXXo-# zetVID7X{YX+kQV@d|b4yTl5~A;Iv9s;u1ZAzZF!tV%edvKxLt`e6 zPdY8lq2g{Xp44ReA=kPJX=J%vxfKD{ZASb-NM|zs7No|Zxz>_BibMY zC4usL(m5{-E2zt4y*CwNG#2FE-`Y3<2)UUxXoARbcFS+lk=`1SWZADD6+o~p zq)QsFE!)jkzqgqbxR&Vrep#TY7RQ6cVejUKvx2{gTT`E%n#MLV0i>EEB3HF-cZ`hZ z`SH-C3L_8Gc-o$;oK`t!2cQ{!99uYD7)ca@H2SbK*G8=J9KmTgGw&#iJyxZ;pujqt z;?Mm{Nfq-C{Qh5C?^^7$4p*wHB8@GtOGOlB=9|J5B5o;m%Fi>utC~3Qm(+}?oaamY zFuT6$a?62|I%dPOhc@(-MtpP)Nm(nSDs189K1n^c!J#oZmBj$ZkT~L7Fu!p2sG4Atg+Y#i0bWly{5sz{64M`9des`>+@%;J_Rt*CRU9Q30p zK8hDpsG1GK>d;z<+I+6%&@M=y8Bh;NaOW{>9BvQPeo83I9e#`o_zILbXs_J-iLxG} zTUxk&r@jsZt5Pl)U~(0NT3oF^_d_D<_)(@G`k2}NJB6uNlL=T^om9b zyqTqMw^FZ8DOeNEkwkegL1fAo09Dbb^E0!qzCr+-2l zO!>JUA|d0rihd7fj+HKt>cY=+O;xw^Daui+n1R30E{O#c+mksG@1C2QZ1q%X-4Af? zSy8=RGeYbe4+5FO?MNn5rh)|-&pZT@JnD`_=dETIU2<-P8~W3MDHh6rZLIeAfrJMkV zJuWfL3~~BC?YELhndZ0764gbv#v-3_?$4`QyPVwN`ysH87M>uX2(tfs$- z!M{(E#cnc{)JEPdvW9}a;suTru|AP%n-A)9F~FrC2lm#s50Ub`zkj3p$NNosVys*N zXto%B^M2!dQSe_IL}VnY4Tq!i|x3yFA#B7Z-qTRbc~wOsM&}DMm=9GBp!Q+ zfOL6ytPYdCgIm-MmtOAkQ|Fn=!+^{`rE<#UMfLDjzUTtt*G~Y9dHKm{8uywI0i<=5 z$NDvIPv)!9xKGcLq7y6ZA`?X7lk5gP`53dDA`@PE$b8wYwG70<3Ck4w$S8g&>2FkH zV1M1k_Gpqr(_o?K?l6LV33O>ydOOHgd`^dGuz^{?Lvs_;F(`kAyRgd zJsSEp$r4kD6rIEd&3c&zWXAmaqe~u_Jo8^Ym5FE#oL_sg(u_cDy6=h~aL=UuaGw8; z5hq~ZoB5GGDG$n`&dEfBJ+oy-y9g1Ds;}}I$Ux<^t@uOM{n8a1s6UPzVHKBLnns{( zC__o)9q*tAH$n!Q*#78C=D3&1JKirutovVrw0gwF6Yh%4+@Y2SQ)aJ+RtZYw7Z%~> zDf?13YV<)z4h{RrH{+p@!oP+GKGg8w8psx--eAJZVCk4{W zi;9ww6t*L&RI|;F3GtEn<%9`=ckILxlQ(g0 zznXZs5L!Mm`+&}?Hlhs3t1`=|9{!cS|+FV3?;x|l!QxgH)rtu@^1`mImEKThGh*|*D%Ss#^5J&4v>yh-vHNz%%w~&GPx9 zMOkQTQth9lyHZepQGA4~`mnmfj(P0yhop;OANZpcTQB_JwCL7*(!S13Sa;}?s;E-v zl`ul5&XbfuYnev?dHba{2+=4VW#708h=R8n{X8oarSZyb@ND9J9eqD5REYPwa8u^1 zTczI6u1k@55`7ibq-Ax*CFHDQ@<*(RL&AN(ap3sLWeU1aCE%$vhe(zjv?bI%JXdth0Ebo2gy1jw4wB)(vqT$;EE_HzaAc^O8C- z4pE#snjW<%p@B>Z2Et8PS5|iXE|>gxNr40fq@x>sCQRRY*cm{6)Y>#8Hebik6T(!? zQ)Oc7#q|Rg$UB@Bi&Gr6|ApXI&nb8D3m!3Wu_m7ROkk}+>RgVDkB}9>loQGdbChDW z+YfQ8vK0gAQ)5HCu9hFx|IS+o;_J!`SR4qi@8mH*_y9-VUtJ06G`WgSXvQw-E%H4( z){JwenIWaekq^!#Ox5sc9A2e=!0@lavy82xB>1Ld!8^$LF*g=HAz1~GLE7L#M2Zxc zu+FY|@7-wYtf8dSga%{dF>jCC;F8zN@RU-TcCmjc4TqQ0JM76@-VX7gG}mcZWJD=c z&s3V-E%&LrXBaLDNipahtuor3XSuXWzNZ|0!ZPD3SksRfkBP=y;SSmdHY%G&RU0<{ARG368ZLS`L)zQw7^KZWN5_TYDGoNU zH*&R&?I*pqzEq}wCcPdg3-is3&=Ly1KazUuTUrdV@GN;!NqVkdN*^PvQiT?`l2ZSU zUj}06O|4H;+Ii`RnQwbg?v9=jsP3Xb?yYN4u+-8+|(`2IhWD^6HJBA6@> z=-S{MDzqZV7zX5FwDr+yJfy7J;qS6hXaCov>VM6(AO%*B<>ucFo?RYEn+Afyc-PpK zvMw7InA73Qn%11s0sLZaZ0X?5`&&l0g5 z-j?mQ3zf{%xH`2}%}Q8?B7sNa1v&VJ?==aH;0gm1q9~V3#?v1jX7Zh9BxDqi?xyT_ zL!rLRo!N3Jt&7|_X%^@c8|us~=8!Hq-O8NGs%c zZ+xwA`c5YOMvc%Z*L<$?>F8!v!rrB|M6)a??Pr7bW>tkfM5DE&St-G@ncr9CeBKr| zWMFa{K8R4JQqTkUZ2kTDhTfac^?13Nn}4m8PAp>itkUnn1`mJ7C+5E6OTj5Tnu@O8 z3WslvbJVMZS!~G|Jb5_Q4PqBHsq~voL;`%ixF|ZCF{*#eO2ga++YSR_IAYkzIFcXV z0G{HWGsk6_?|ulHwGuln>lLOlg}TS#7zUGPeqQq^u`Zku`NKUcS-+(h^YCNIib^qo z=j1u=0m!sV9G&UlwRz!n3Q3uG_AVSDmr6p-JzO}xA<*e7^YCAit#IYf3~gUv7T$!8 zQ@MWN9^`ZkT5h;(_;ieF(SPosRWgo^=A|v@RK8CC;ZZgz`BqFWDSM^H>j(9a%^9b9 zJWa5v-RVURY33^9=;=-7;_8}3YyF~m-6OB+LLGA2f2@^}7neNu-CLGR&*Xc7(I--K zA5`|P;FR@WqjrMx7TI)A=jL+!Ka@50%Vp?!{#q+x>s=8+x6JK!&dX?~3O!c%<;X<5 z1=jAM=@7}vruOLuc%t{K;pwIPF|EDLpkZub+BD)}OKilGx>Vdu`|nvw51qf4{~9aI z6>ft1i6dnc1e2sfn=hN}Yj;iF1;dv?m3EH7sW-+qye}&>C+7^Yb<|xa9ZK$Q^q7Mp zdd%LBUmkjCR$4Hm_MD3;*C21r$^p@oL9GKOi!ufUECU;|(0g=}0L0SNagq_*IxEMN zax5|snX2j&`#VCN%Y##D#&|rnq)^-wlY@hfof<%w64kyAy1defb=B;$BzAk?MerqA ziH@5n+A#OUIdK@(OQ^d@YU?%|lF-~Ksmw>bKRERNJ)U@X1TMvVc{uU!q8rqFP}Fn^ zzq-!e8uNH>$O;g8fW);gkx2*~bEEor=pMiN*a-(QDCPd_TXxqhdOF1>q_lb=I?9>( zx?bAz$x0rnSzwV&dgC`>tS@m?vap6|HU-P(D4nfTZavtjFD>`4c-u{V5h0H!tO=E_pmw^*Y4KMeENyXdkv z$wV>yS=k=Ky9{T;I-DLqwF zoHjAL6+uHrH;T1L%uhG{TMl_=?MmQejwgXU{>g)hhzpzLmp+yW;?`~614WCHi6ZZ} zW*L-%$!l8p($0y)=S4WiT}Mdb+Wn1tDxysIx`)Rcis3xqwMnXp8Kd7jtaR)a4NW`X z`eAMbBDXrMs@4-ZFsk&;MZeYT2;L3^%$z|AgfRmNRlgr!(Ug|gh0W~9b}lQK8>P{E zKTsm@j&|$tm{OJ@S~$miqh4Qyb}mmdJy-@}kdkx4`{qdlm4JhH%MGoF6u#oK2_ixC zFLU%yyA(md1-#Xl)%*453Z;7qk>IRf(uX6sDjCHE+iqUntGa|6m({^Zi#YLwDhHi~2EP&==vaqvrgrg*|F zV6*f|A#Bp@7IoemT_xO#&fa)QOSeEX4J7)N#r_vtwsL!xIam({L$jVKgE~!#cQHbxaA*+!=jZq@vQtA%10AJzJ^~P*hwI}}SfQ+X zUSGDmw9J|C%+$7(Cg-slfU3k5A6OQNweUtay`)t;f-9h=!F=vRSZY#AKn+T|po;a|xT@tz(HMe7G&I7SUIQ$HvKXd6#W>Yi{CBK?(b1!iYnI?rP>tf2LYG z5D3sbNky=bR@ErFqFCdWw4h~oKxPLCmY7HD{@~~4=(k;9(z_xR3SvkiD^PnEsl4cn z^8G6|i6#bP((y4F$C{A|~{4}dA8>N;opF#FZCqhgNaKR9B2E#h3;k_>LI8Qj7tzvFc&b@)M1QWA)crq>h)V9SJ)(T6@zEAYKG*ZB}9%~#Nj@C3ivjW=2K2Kz6ngYQ# zsEFf~$%Ar*8(OAvaCa_XwZoAjQx79)L9jN61 z0#IiD`v5l^)T6TVF>ZK>XweN0&4K+kj`gncmycBmZ-!yFO_5{s9N}g05=)y3snlQx z+f;|*JFq*4R*wc|-n?CXI}Uh_ceiy>K`xe?tG*4T8>MCWUGR;@n^}am`8! z(Q(~YXik`&m6r?^<{l8eNW-pZrb9?)-5YY2HCP+a8z1x)fPuhk`IzyHMuYXt6e0!E}WuA(WGcT zy%D!pcGrKWQ6L*P@WEQsQ(I_Me6VKzRm*lVDm}%RR@>mQ6qG=bJuVZgQkazM*pAa8 z;=&^^p(tGy+(kEglFwcO@)5Ou*>aSpWfS;dTL#X&8T|9$k>V&~l=;cS`9kg9+^y z__QsmH#1y?idb$p*b%7SN7`-ArzfdKzWM2sX2H*-uZMhwoXjh>!TixynF}R7{t*r5 z%V+KiI~D`PBtwqbsR~^0x20?rd!&hoBt`HUEtHDt?BIIsG90VeL_=uVrHlRp{++}H z<+`4@&aC?0s{0gQyGOT3Dezi6RzBW{>5aQ~^9vN`4gbg525tNnZ%0WwBdn?}$4r17 zknGQI^};#!$XUCqo@Vbfy#hdsvU#ZJJz$jSY>c}4V8*USDw;tgv8j2bRht6tE-={+g+EYJ^Vd0dPjSX{W>sUyF6^K!^=05Jk2? z1Id5bR`tx2l7f~Gx^V?%Dn69#CGA=!>V|^7Yh2sXdF?1aDqJ2)kQZ6yR%J6Fwz|&d zzN^ZU9Ud!9GQu#s0UaILdWa;Xf-`P0oAR>z)(C};1x2?jR-@>}h_q(%MB=sSf}JS1 zF&8VsbVehm>??JiSP~yh8dgEDMG~~^()={(PR@xGRka;K1k#8NKb+|4TBMX9apGWc zfEF9!VC+)XO`@Ecbx>7*lh3U}AH#q~S&QfdPPU5~3p&ixIS-{z_@M%i6r z?)$s6P(JI$Zx8q6x1+#KrQ|#yY$}GS-$V|7Il3gRr>F(C7&q)>SW@ypf8JpfXWCj` z)T3qZ_elWwt*g4lpuA>g=o9jM6eX(`rLVwHL%t zCI1SgLnevy1PgdF!_Al;gbkd1xWQ$*aff4AG*fRLqE2&b^B35?V-RIxox|m4f2D6l zZYm${V1Y#Io$Z(6lxUxOXq1HKcs?FIeTs1bqG-LIvkz+9+i{w9)Q44%yqVbbYjYA_ zlDC;vO*^|ZbLU&&ZTh8ZCYwnT9F8L}sfDafM8A4?)3CtX#SHRF(n*Wu0JSScRfM~S zhQuKnj{{pfb1oc;L-(vuF0VV*js;5i?o}?Y|3XY&m#GWOsqp212@CPuU`pa{)6IRZ zjP9wxA*yQShTm0(g!wRnlC>o?okk^F!kYV8dXX}pC9Ob&iBg4xL$Excd?h(ocWV?@ zE)~xEgy~@oTJ_Gv4QTGE78TQ5RWQ~?BhYGnw$h0?VWBjm83MY-VG z4NMis%RpV}2=X%ze|AW0s$ngk5ou!RBVMObcHD$sd)Iwo)nu9rmiy+uGGP52N;Jhb zc&}4Q7#CjrE8Q})-!tz@*K&PW3%an9G3$7u=1(Si`Wzdk4!p_~C2gzinGYO%sIqE! zS~l;T(DSb7K`~qVJcJ{9`Rz<=B<>zLO=<|&=rlSvHe=GSebaQ?CkJSBxz~8AeJbQ= zOOwGrhy}&$Utcx>|FPPX95WO93nj#2s$C0POky< zg_|sip5N(0Ge)kWltdnKS{MA$aNNAJLfNYmjVufc-gVO#k?^ackikJ?st+a0GfjOb z>1&UTc>__ZT=H)6L&47R0zLq&Jo&UtK2#TbQ4hT|WWEJAVLd4D%RJE?<dnc6k*r=E)}Sk)_A z2^W1fMWs4ZW-(0WeW?~wIOpuIwzkvl0RA%GPFM@SCaDNHXyLRuGi4^I{mRGsc7%od zT57stnyUde{U!t=u-#$i=4;#D_1wGvdNa}01yeDhi<|KWG!iSpxQ;KRh|jvPS4B@m zHrBxxNmcwO*~vPQjXjCwL{!!0#nmO%QuedbO7RGj3BvMq!crIy>tReSb%J4?*+_&l zV0xv+CQb^1`^6N7=FFAHU1!4YVsUvoWz5)e^hv=cFERH%y1X(~95Pq>7La~JR3KoT zPV(AI$e{{Co`fLYm}44CeRnEjI0r4QAlrLUSZZ5;B&Vwm z@a9RSD@C=TSvw4iB??+LwKYyox@<;N(VN`@!qC5wnmD<*cBD!=nj&TQv!m%xg!17i zp;tyVol~^0)6xt5%zag-dSmDG9z>nG1!%g~DHW$YH(|Cb%$QpC5YcfeO(mLE1`w?G z;iO~Vqxq*|H$!)OlD)vD+?R>WH`9f)a*^5U5N-FU8AW$mOQB{y8-om$cmUD(6I0-X+>T~3bUiH#W#BV`tO z8WC#({Z{3FW++*UF4EO=yVc5FEGAVUzXSHPXYVR7EIzyyQnu{8gP6Tm>c`)Tb775C zbZ*_VMop*@XPs1N$nAlXzP`kwl~}uYaSjt_m2nd#RoM2Z>Hhd1fW}O8-p`}+$fMt@uH=mN%gyNV(a|hI zz^5;NzIjYR{y|re{fL9Oe(Zt4NMyV`i4QgFI@@b5iMaESq52)kzR)8IiWS9?(-f;P z_|yE3Gpdi4GeUH*!(>ZgbZwvBWI-akWN_*zE#%tBW`xk3ppiE3{XI;&rW@hSqB$?r zCZ?`>JQ6?Ntl;UeQ2Q8FX!O3rY%yAL6X!)u@DgJ4st>*1n`B{Vtfn7*CrgW=zJhC7 z=LE?%Y4WR_$v1CA$4re{>=4OcTA`lrF2L)ehPM&IS#_$kC`+G}ZJKTZ`{o;mA+3v_ z^vn^x)lCj~knzthMTEst={oDqmMcN6*Bu&_e*vH=ibOn81m)QBfIMB9g^^~u9!3mE z_6J{Z>Gx~*0$+SH&f3J}(%)&%xjsi}WBXNQ2FRXT9*$geM$De)>mx7Ea5uFV+qbn? zH?@vUNeR_S32hzaK^^5QCuWq#|9ydNndy!GKVNR1TF{>VcD}6dTEObdaor6Rxb8+N zL7a;(K~(=HP@O3&P%U=o|7?2bPoj)*Oco0?gy1P-cx|ay@U7w7F;`*(S%rH!cGZ; zneG(z=~}|lwi**U5YLGs>u+>$a#0Jq&l8sleKCRo5+kFs5PlQ0&%2OP@>Al6Cdw0d ze`cWBQnZ9JtYWIWi`7!52c!y)`<-ZTGsQ|&#?Tv5RQ6&PRl-x>##X-py*eTA@IFvk zC~pN)+*&6>8#MfwUjFi1O4AQ3Cb#?Wq5v5chvPtB2ksQck)CjWjP_og<@cqXSDBpz ze_R;F1ldh%%b-b6fFV3rDH6vYm<-ymkHNxk#Vb!x^j~o0HdVf`DJh`>q-4!x^}wTw zA#{{g5|pO0NQ@*9N|{7_U3saB3>6&RkX%?ZuuCoz6179o*YQxLxnFTD?+VUNV7at4 zp6arnH_!ysCc~4t_l@B6(}2=UYwbm#+El!HKs?S7Eo0A;fvAF#Ko^6(#K@lMRs4!U zJ@vNvlNmBb$e5oW4?`HFSIgmi;v5=M8+kphO5$-ql9Tr?P$?T&v4xCmE=woq$Z|I% zrbl22eTrN?F$fkd?V*wZ|12p0Y0AgwzIS}cIO7$$d(Ilx697Y9^Cj7d6u1cXw4y3{m-m+0#2= zs7m2H92Z3CFtbBeJzKJ^8Z0$v-Lrh=;V z{5=_guo?pT;pVGfph)?dACrXNY5}5}rp}USF+%+`pA%s%Y#7A7Pn2M5OR}&2Aj}>^ zU3v}TncTAc@C{IZDbZp~WmVp}MvkuSx^C85bERC@qCoP%Mxrt^PufwG`(li?m<*jZ zti06Tk5-LyQHH!IVcgw%pA}FXcKX_Yo7^lQ1Vm=sUka#!q%IeISO}z=P|fF|x0WoW zq~28}DhzqX4 zbDa5U27L$PSQCbKei9&}G5rc()F4&x@e_qK4d?PmPUn@aa^4X^1VX`O^HCbD;@#X> z(<@i!y{dK*~~V~ep17k_}h{NDv=JiGzM^i z%>_2*YgM}LjCexRkg`V_!+$qK;>(|xf^4Q}G}q|t;;F=&C_#Q``9Wg^s%unAR(lc4 zrX}riYv_bVe;Y|DG9~@fK@jmel?He!wCHvAp?IodGWhtFLN6SgP z+uxaHOYEp)L!2w0M48m$YXT#R981O*wfc?~Qml{HGhUz9bW5GC@f=Gk=Q)1qhC7zX z*N|xnPP9;gl{=JDO8z9*sW8pbe!ya1U8NZ=0D#6Nx6307iUlQ*2V;It#lqooI04jl zb;*+sR~BX38x#XMDJN5V$ex-%~Uha7>pIA zA7J!vd{5nq5yt!52_MHaciviOAEUBUnNkV6#n#Q@zU|H!_=W_)Y9Yr^pw0v>C_!=} z^Xh8{X|!5)Fh%Tqq#rloLQw@jPcfr?DB%pMjDsgB(e<-IQmcPQwBZV4_9fz_;^kK+ zyYbiEA1>cAI(Bu_FyL>w+0}K~K7F!_O&RaJD)1(on;K?*h+A2}es|F;SbdZ}g_$>@gam^=?*Q8Ity3x<7fO0sd<8Qkv03 zm{84~<@=gVNb==|@e<;PMT6V#e6iGp+Q&`dzMLKS`m8c}_?a)hHGOcg zm^W=35B=V##|x-lhv{MZxZmW1_k;Ra`{GK+4g4poF8t_d9eiUiw4gD8`1R%b?yW7} z2v9s^rR?$rEoJ>T-*32p&aj*jM`0kNX5!k{bwfOyTOwSo0gngb=dgj5eSYh9U=%H!ZGb(_Irldd-ZZhtqfcSh%^AZ`p~Ce4RHc!o;RR<)H)uRxb0j8wa}wGV81 zv(gL{!=0Ns7+(|xTRK>l-;#QMS_bd&v^%L{AmG*NosGEOCxI`Rk6cn*-yew8>N&pd zTPLmXEWZ^P7)5XxkZIWZJkqwZELAivh(=+6()njq4M$3ot zTAUhXPSgJp%?^n9Ka9NvR2)sWHktqlAp{5>AcPRy28W=*1{vIKfDqgX?ga8Z7I4X_dF($6V8k$O$$ovy>;iKWCuZ$I33NSS&t znvc29&8_LAHqh2eIZiCoAd3gZG3R0LtCnR5X3exQy+pz~9#<{~-T=y#>nR55PwV^i zvZfU1_3b7?UfI%t*xOM2a<`_hT1CmwtCahGBjd$%6w%E9L(h=$oTs<>pa#uPaNC?A zWPG({g)+=H7v!xsL;rW8!hcU4tKRF);+&NWvQ$h*0?n*?`DD(}wzZzr+wCXf@?0dL z*X(%iT}(ob+818D%M^2wNf7-$cRsRX@W%+)gZL(|RsSgIZgUy~PHe0AdL%NTJ=p_w zwqra^&2BEJ!0P*L6R-8fVrHj5T}F*U}y+dFjt++R#aTCl-qzvM*`(auzc; zrXr9F`}q$Z+c&6(1xoVKzE77Blwjl0|0!9oYf9a++xGUwEKZuvr98$O#*{8U&I*>7 zGox)_cfK44;H@E!6)Oh_XK)1yi|H1~Xa9%4+U3m&jmWRR&{bmik7MhoH!45h8V=** zeDw&%rf#k8TqUjyIkrZP7CfYD$JPYaUv}%D=085RrUI$(4QsHgHq|_a0j-HFt96aX zdYP}PdV>+C@<9kMy4FMrLjwbk3P7tCsbLv*6+9TR*Jb0nTGxE6_dkh6gAidVAK?-~ zwdO`?PUN@5>k^I=ZGV$LLHh>T-}aSao4|vA?RDw68kaY7Xc@dI14L+&{*Pif9=3@{ zOHZB`(+T50C%Tj3#-6-W(>2wvp)#9Evcjd>1ktyVP0FsJGH|+gnn@lS25YMSXNGWN zkACzYCI4@CvT4+dQWmKR_Z73FNYUSw}X95yi{669gbo0u1o-UL8C^}nwr7? zzYKeRqmLbC9mvoxvBkVSRvXbyrfi?{NKA6n#wo@vP8g-Y81^8U^)?BdOWTn9my6Vc zhzwK&zQC!X=6_)(Y>T6DeAuG4Hgp<8v>wsDB56-Nh;`IU3d|O6vYSFvuZMv*?tA0L zGF-AdA;b=2Sy!vHt!K8U!pwKW?5mRu^EO8dgAD;^Q@1#l573p@BJHVLVPLrg%`mSs z<-9oN!VHK;r#HM5DZ$2JRyI_CnK+hlu9Vt#82hyisSx$qcPs&qW)O$-qc#pIFcR5k za3$lNx|<9r)(5YJW>d^X1|$%l_;XadE?LNaM!e*{v%=8O0u(Oi12#nS&2S>FilW3JAjpXZAu6 zl(xB5U(r4EXp;@qq)jh;e+>7gWN6=Qi{kF!d;Z65N%h4=w>>l2_EyW1`?tRDOs9^E zy&vaWm*P8OU1>p~X`tV9G&)K-J?bTA5tu>rs<1PY5Z^%6%p)Hm{17Z&rycn)m+T{x z%&a)ANf@^SgWu!fk;5}wkxngqDmrFUJ2XdO2Mz{0M6+XkS zdIB@kxsgRIWC9=C#~dM&wZ9meepp&7I}KQMYLbKv85Y#`*FwTY3JVD6Mb7FfUJrj) zK!*0`Pvt(;l2~gj_q{sCxaU%r8!*w!u$rH#-PYC#tqMrQwN4VLgrC(9UPqkFU46)V zYjpQJg3%X)o0_H+RBA6F4H5m55`(UJA^IaS-|NGYF{C?1r23QvX48>e!FNBee(OBY*BS^^>r@;lXWtU4{?I)!Lr`4P$7^U3sov zfMq9a{Oi<_Yu59X;V)nj!lkD7<)eS}cbGPlUWjP=?#+%lBbyL0jOVpt+pY5R_RPhx zcl<%Db}Nd?K{V%YtQA7X&;c(*V!n^jAfs8%dF%@dth%Lk{HIMgBzB(CN#HfUKQG3> zCSx;`ZXBDFP?ju)kL6j8?+s)5XSOeLIR^7)HUMdALmX-5^Z&pkm^iu6Nxex(i*~T( zj4q%TQw+{u@f(#YTV@(1l&xywG#3+Ol)IgoXF;d=YzgK234sm{QOY(7p~&_VB6b)v zr-Vp=)0lJ1AV_8Ue6H*-Ecm--R_b>xO8(VM>823DS=VGBI#FTX^$)W`t^(PwHYRZV zX^D77>Zjn+s5y>Jji53CF{@xBd|?K;YI$DGTM3ib1=a@3z9A-?R;*}NcXVjGlan)d z{LEHcftf(wbQSaAwqlEBS5hOPqG>t)XH|ZD4 zkZ8E_qOrJ>{}HU$H6Eq+>}GtWZylZO@|YhnjFzHsxx9w}>OG zpg5qGpADz9lmz=|Ml%g6j(o4y(C)f~FmL9HsxrbJ<@Ytt!-a_5Ke)OJ5icHSoQGtD z9l9~!b}Iq@EpTa2A7A_*GykpV`8lEGfyO@-sN9&F=Sz0%i*i5hYgjV<$?Qr?7?WDx znMwH>@ny~|MRLD|)w#N&xz+6x2CuY}P-kw>i0r~2Mx%y~arS+O^P&&N6gDBNb~$Ky zE*;dtMbE7lai(tBIaQF#I8%NP^ZMO9p#_wiblrtZ72`Xv%jVOStyu6v`p8csB1Px3 zO&-+790Nmoi&~7@>nD8=b+$cV@Y?m*B%0;&b+Tz3xqj}k`r37}$~4N*Z2}tSenBk@ z6yK`QbR@m1wYwF#mW3DVhliVKs(*NzW+d-=DQ=0cFh9kuQ-LlKxqFTM%Gl!XoECpc zcl40M=eUbFZ<{GTy6`)4>{jEYrug2---(uE4Nf0ByC>W;E$RZQZZo5oG`}!ruefQ2 zzW2O&eo(y^F5Wn6FkQ)K9m`}^-PX7hE`Z{c-7F&3ZIgA<9w>=yUr|>nQCrRKC9J1iN_3xsT6!^9fQ`NRvVyeV;66NerGIMU;qMl{s--4c z_wlISY(y*MvpNai$Ti$PxG%rU3w2}gwsZ1-nj>pIgo{%u>Ph6HnYCrAbMbU@2vRKS zC>KdbD=)}MQNbD$BtvM5QU6wJ2?n<3Ksx?3(07)1Ou^$aR;zW8NXeB9WIFo{aKw|X zh8717mNcm$7lc2n{=$4~%9Ciie6lbcb0z;3YusYqMS4-gck;E5jjX>x%X2ay`yAI5 zqSS$~ux@$6O}*-t=P7Jm%rhw@haefc;-1jjNtaDC@EH;WGtgGWTKp1a@q z>;er-%OXz*()e5xsbWVc`!HS3NK|QKsw|>XI1!x?U>~VxZ=Ul3!o)cI@><;t%N&^V z-4?Y~otzg2PL@|PH zFqvjkD6MTX4!2APD~+-0R6b2|cnQ7~I|it9o&E0RQODALcemS6G|DY{L0-mhu^GwNNRfJ87onGNFq%uVM#s`ui z-NTeJKiLu~8{WASOmv>z-(kiTEoYPYHC)`UPIk0*1DXiwvJ4L986@e7{piBe!93B7 z&+pj;Uyb7}Z_L3ign+XkF_qHNtOvlzFn2D7LZ+X(!M;0+QhTa|c#N~5+y0C{rrXIiO{m_Jd5@jww7z#UQDOBpi%*6U40;-Iz10C zyo$-v+rR5RvKMaS;L$r_o-5(k_0rrY@AISKPr zQ`D}OBEkWD5@@xY2KDyNR?a*0r0#5X=B9S$8aKqn@jlsGj7U>JbIVICGCc0$^T-dX zu#uj%4?=|UXfe7~kg-?b@>dKAmxCnYlW#w4ptDcEQdOL?a4NivK-vq5lt)#G0ZBRH zP35v===^!qvU7u!J&$@*En5e8m(DvQwUy$Fw#kpGj(C>J^O$^r<`KcQ{w{6uZz8pi z9u|j-OdpvUtNPO43Z}{GDeBuF)fHB7m0Pi(Fr)S)31GSwC;=_3XtrH^K2H~tI8&Jt zIhn>gVR}bsIW}0?RDM6MVkn;LaK86EQS=b1X`_ZBVFq-Kc)Yh%DiK_`V`^#++A0)I zwc-JMs+0~N)WR_{M6VV}66QRsIc;vu4n9INIJPE2qC{*V3 z!fQ7k9|ndQM#L0Za6@>~`Wf|&0SVq~mLl=(jGu>%k#aaJ%|D2#$hBPl0SVx45Y=S$ zT0i9*PDCGZv*Q7rKUB4mN1I|=nqb#4xRNi4h@1a4IH!zp?WI9MM5BaulN30jj9_hN ziWETm^a*54u$_PQwIW9&{TtCnELbBz7=iK4*;|KVNcSQZ$Ht|NJML-9u-y-g$(84( zovGQVFps#pgc=~509Y^1lR#ea*mul$Kf0|01fTG>5%)%0$*!VT@&901_c8%?-oD@| zD3*CWloEIWvdgbj5!Pv5|Noh_-bO#Ah6JZHgS(YG%?m1Bg@y9ppNll<2oAJ!D+0eY7M zWjb|{gtDre!@6!_|JpKnsDY8U)Oh+~O-Dw{>$$sf!Ly6;6IvU;)sAHW4qK;Vtfm*U(Buh&1{ zk-QxFA&wg9y(bICwV*aEH%XQ(qZQeYi;?we8x=(na`9k;+CvShUt3DRwb3c}0bFeb}N;Gse9GPln6t z`u#?iy{woyHk9^K#O-J^CYo|=p7awS$WvPH{h?kx1MDkNptPW5JmS>p*43lTvV&l& z!z6ja!$sGpXpoDTG?NNUipW~l57_#~|D=;2vDEMI8xm)_NM`>d1)rB>{2>7VyxOe3 zU?-h#@TkMONWy^ZRMGX@eR;}eVC0M{m91}g}R;B zbRdHaTmt@UnlkK<`a5Z<+^!C8!F~^j@w0F z2W+!)1|T*H!c0R^a8k*X0>30Z(F4xInP}oHycRkjRjj~~6?>%z z|H&0|YUP(>+Mj)%9XzJahGMpOkSAn#Xt=G7&xFdDFg#Bp`sY@1xRUA|x|M`q3Z4Af z?-}zFIO*Awmd@-t=Tlm>!G_O0saE2osYppr*Rbw-i~t1>6Dno+a|sB)ZuU|k+23MH zLJJKRkvpN`%= zzo`G$4SO8pf+fCSX>lz86uDW7@Mq?Y;_t$p6D(pu78i& zPoKDMv@4y0zH1~vS ztcy*mWL<9>7b2H7g1FfGvE?0C?e%P zyZBN_O7K`?eJPkYaDza2q@ZgJ}sMlWc4z#YWYwWPA`a=`{iDMyo~(&q+s* zFP}SFbw%3ybbl|tUTEKv^1i>|FvL$RCM7$5SM!RkhlHg0cer1h$FPl8!SCM0wNc{v z7LVSFflii%@iN!;g$5Du;TGvNO7% zg2wT));*W2?j*;&cNgb3ql)D0C(Ka@AjSIFWwEI7j`e&c&#kKAjniyR`qDYH@Maxa6fx@ya-s1@Y+pwigOLeE?^*a}lV4r@4vjr941lfHWsl(~4E}}X+)kj4ByEK?n&e$rU1Tof*-KvCzvFAZ)aimlx4$akxc3Rb%4Y0d zpO91?(^Waa83-Dv9ozS=)+=>^zXvv8&(C;2$*QrK1Kx^H-c5ATI`L>?i-+a4E-_J`_szAS!UHQHO= zQJV4o0i2J+yAvyCQAF$fSB?fPGwx!9*QB+rJA}Q%K>1SszII@h78IwE+`c?*qe*@T zORr9Y~OHc?BTi&U_bw|O6;qbE||OhaNy*+FT_%pBgM7{ zV9)llVb69J{k?hNeS5LSdpJZDiD={FfhDz8&ds3pdjqxCng8C}M{JR;+hrx&M=4aS zoW41;IDFg0ZD=+^3R~J-d0L?F^)O_BpX71VB+*WcT@xO>JH1r3DI9bg;JcyZTsFvQ zv3I8vYTGJI>e~7`Z?Mhx8EIa3g-4drC^nxVD|=>21*d0Y@8FhJ!g2L z!*GSLB{k1bRqqS0UJEq zYw+OJzC1{boIFW&_t2eh*EX#15zuZFT(*x#yz^hHs6T)`I?YG_9g`Wsz6zNDa;e=*u7I3m|L9gaw;jAA&y(Hdo0)@5J^ zINa@dtf5-bS8A=gH3et-w}VyB8nTob{^jv^EZs_qO8vObrwD)TH-m`L+K|LH!h$XfJ6Th;!| z+8x&3d)!Mtr1|&Md(+2h1G>DeJp|<4DnEs}UmmYMi$a)nDBBxR2T>q5TG1Z1J{nPO zn_f$G&aL-yem~@0WhEGEX!P-Oioh` zJ#b&yaO^(28Q(Li#~rh;P@eiDP{g~WYq6H4HOd4k3RUd zY#B}F`G*ccalq+jXn|(!?v%F&Q*W#p2_guqZ0*Vr>BclX83A`rO9`M~ICa>aAhkN| z1e%qq^aYyBS`9l{PIP1cHApqP{xGZS*T49A^ZF8aGZTK>sfy|)GCrxjHew#G)WD7p;4V0S?ENVqoDATn`T`SNbC)i3wm zWGk^Y=krDZKt$y}aj?Fqu`gytjN$Ns4{G2VARP9ezg#>KiRNVA{F}bIJ%5>2nq} z|5^YV0eedA4ZY*Fz5EU5&T6|JR9c!58f9mpUJQt$Q}iA|Q0oB8nNRVe;91QG%ao9X z&bf3U(T!grkJobeUri;OkU+eaN@j)8jA=$EyFFagd#WdQXU+913Z9HwR zGrazT)SA5$ZucqM544HqzW`NP&bRrcH25l4B^!RGk>;!KbGJzk6mZ%SqOtZH@M&3G z4R$?+HJBS3FjO7h^EtP-((5gz?r0hwF0haF-n{17_2KV^i@fad)~|Wl&^HcnpeSAz zbpPVF>@X~}K$hsuckBJ`@Nm_6`Rm!eisO2hzKKF+%7N!xTPrSUN9CTTAE-)SRP_$K zPk_(d$*y?GdcGaUVAx9}`AuE*9{l0zU zcvE24yVb|ut3}h$QZlv3@nT77#|$}svvez!-QuA$h~1i6!-=?Sosfr>2^h5{&~z2F z!5*!%`25zuH*k@#tr3R?$L&@j_`)1%o7eJdE9iN%mliFYeUv6d=uXn}l62-;#qh*% ze(Q~I4bFpx=Y{^}MVv58BrGw|rRvB-$GhBpr21N@g@@{F?_F7kJJ4vTIhEmM8^<@P zOSyL5s1aeR=2Xn?vTPg0+J%8SzecJRt))xy>0+ z#d*)cBThp$`ymbc$c}d&i&pc)+vcyTa7CkScM_{akDK!la}CW2MJP_5uR}MdzLF_tPXSq~ zc!1HpY5n)YHl8&pTC!Ch(QDI-Is+Np8wjS#rHcDwzy6B5JgUQq4xdkjEeyw6Q4~I3 zTDh)9c3o}k&00ZClXxN#&RrR80_kvr8zXnvyP}jxL`BW;A8X|9vu(86+JnPrC*&bs zdORP+yl>m|+mzbYH6tHHd=BEc*_ls8d)8)KIN1vswZC;(*PhxEphal()q>fhKznC% zTk=h0q>#Rh_K#w@CN);Q13hWxp+f zgVE)06pwH3y1g6mUY_H}J7$u*kCLw7(H_-Zer@kpDkdw){+b&{M4ZDKsT!%EOW{1; zU#uvBZbo1*;{HvUs3rTQ2F9v;sp}}NYvJ3_rk(gCdgEkZO02bAw8`aoobKQHnDNUl zOQ}T8o-X|FJ-4_l=B8;xy1I;JDMJNBiJ#4?CMpc;Gjp#ZIzfNOyh|hXNVgGZ26tv~ zmrc&PLcXH^vtY{3}>=$(KB*?c@M zq=A8q%I+ycR;;^0E;a7wtfH33oQ7nLm-c<3tXZ<6!o`jlfr`uiEi zj*Ok8_y}tFy8j+?v`c-F+UPf5eOXioWL;|9e8VvQy8Q(X@;j=R4NGjQ7pe8lQi7yB zm5P-h#fJ)LDn|;l+|IlHbhYBV4P#a}xk2VDacl3f#LTH|Wrxh54!?7S4me13`95VJ z7Y~k=m$!^iy9jn!a5&S=-}+aV&1V5hcUUWH_C_)>ieE|)o8B1gN2r;=-loV)2NwsG zLt-+M0t0ApPA9rUafIaO{2cY|r7OGUvh2bjZ z25r$0plxm=O}?gKM_LGWetMkLrdZnDVB7n+!Wd;Piw$LnMcIURkh|}Z4JWpipm12^ zkgnyf&G<5X=AikQnsr@TI%s#R*HhKS<$jjKTdIUbyzF8EST5hoEt_){ z7T|tFY8p(C(Z@xojSMAoYcQN2hm4Hpm_q{kLTj(sOI~c+2I>8jnw6jdgdpRCNW?uy z#&IFU83z0Q%qsXn%c0Y3W+8&=TRq^ZXNnSh;aoIuL7M!eDO49VxBSm8D&hJAJ@f)g zYv>Oj6BPPJFE<{w?DGt(Y#(@Anp9D=<7>~IeLm`IT*oPO=jGNhSh^3RvB_A90y)Aj z)64v>u8y4GTi`kYZMzZ8H0OWAgAvUZXZRtwPDuNIg?Db6uK6jorc;|kx2vE+erY={ zGr}UiB=rz}cU%8KiP+issX`FAxv4`|hcEQ}Fm+fukjY|!&bisn&@0OxTlxFEG;;}s zeVLGLlkjH!auO;$?|dJ%4I@w!v+HFqr@u{vi-YP-b9 zwrK(5g?~R#f58_okfD&W7jd=v09^{3AQxn?Na*2vWP?G~)sl5nMsko)`7fhT`J4P& z66I#lxOjc70`T+#ocCn;%x0LDZu<}Uq7GLwOW+n(gY36=M$Xu}cH&og@#PcG745K_ z@eOJj7fY|evw%gd}@U4H-AFt^+j`VZ!)QXF?Ox>eQ24x$0 z2Yht%*nkAVu`d}fEHUc8&g@G!CYz8HNX@3RoCeXWm)gT;fAJ>qmW$>zW&e7sClDEi zb#wCpPV9Xe5)JuVT~8TpDO6p@8BaD_k8Pz*^O0 zS!ZIDd@ePEx3*5y#!`QZl6*w;B(2x%VV`#lz1hiZK5Ue2h zMosC8MNm|Ce7UtOH?M4Ghgq;WEUNN=GlHX+$7_CIG+B$z*@{J%Mp=O=jg44Jc0iXFIWDl@2%tzrpn)i!5n!_Z56t{&Cx#6`B@sV`Y&;R+RnY<~oiWG4C zfHgR`Vg4J@@QbJWo9L$MFF!Ln)k#hjy?yoq@kkTF8caw>IqrR zf?Y`a+h4-8XrLN##?G+?ap~phn`yHV1ag)86Jh>Pgt4k}u373^|H*VBXXlj{>Dn$@ ziv%(&#M|_{6|Qxv#-K@v=X%pN_wQ~;H;BVOgR zqkLlbmbOgq5;5vVBOMaWtJ%LIT})=BYqsz zG0n0gUT`*4Btw<+#-e{n}Z(bGpXpX@ReDf6HE*=Wann=?rF3+H*ra#x_&8VVF zq+p>}_CaI||Ek$2()HFOc!z1((&#HrV@FwSvghY_27i76(tCO+#a$L9bb=Xy+y>+2 z)&#KCbW=x*dPX>YMEHIzD^|0~T62#jj`{)jFpu5mX9Yd7JmCOFASvYis$bDLSkD}E zM6SfgpKfdaadyEG+O%rw+&!@MBYqf%A&uf6MY;V)-K+1axVcX%7+sD&h4526kz}F?KBG3KJUhyVCwllFMLw#e<97^dgc_lbg9I zLoJD~UB9GuJ*IVg2nx{kjfjm)DdIDUyQf6=ng9w13DF0mByw1jXL=6Uc1*q*g7KTr zIMqidcP9dKC<+i4CyBSVeh$~p_n>WfI6Xn>E#_KaBQRQpeJVmNhE~4RF!FUpzNxQ#j|D6ON(i%4_2O=mIEDx=KraqDZ4MTPKt0+V?*oYhD`X#HYI;6 z!C+BOXyznyc?##odedxPBK$9i_Uc#cM8ZVM*LwC5!&8sE<{a)Dq@<>H=*c`ttM9CGt^;wiV{xVV|w_!ZFY~M8b z6EhU54q`!U&HVsX-|lqMRAy8nu)fP{{|&?dPV+$~KA`UhnlpD=<6e@f{Wap_L3xhZ zy}o=8G)TP*M7gTec^fo)N!u_88@j z0ed3!)RF-pep+W3kg2Ao7wDc|Ci$OsNr$_U(@*qVp$7*_?Ga~%O4~M>?hEZC`l;)Pr)viyoX*BWP+m{keS*^7 zpjgkrTS4*!Yjp?zdc_)D7g`L_Nok3?B+#7O<>6eN@9x5%_TAPJw+r(Ob$BJ)a*Xt| zJPoWqAb>2*_%*p;DaPg)K0~990jf)~pbU#&K;vGGn*&CaW@9qCQC8QX)0`Kj(c6c{ zHwfi#H&lP`**`sQsz3BAcijAKzx&i~$@~rYOL9%1pf@JZnt6qMN25(1Z<6@^?Ht-S zVni2F)t-n;^4f0OE}6P;c5%1^DrO5#<7XpGf)C_B)6HjP(`{8j=(^w@$|MYN`DPWs zu-BIgAex|2{ZZ}Jj$2Z&14C|=qLT@KoD&8D-Ab28VkQHPuKWq9&I>FG%|b|Tb}vx% z4Qpo{Pc$sEZ+rN)Zh;Z6agLnRW#R`#KdbjozvhWUyjUuC0~-#LUNsT+3qP|QPaN{e z$4ZSzE|fG=CqQ6Tg3)gWBVWR0G^(V1-=wnA5G7W{^U2N>A98)Fvx-MWg`W#s{divH zRW{2Dm8=9?Ech+vPJh4UeSJ?3Iks`sB?%AGB%ecjCGL>kYZp52GbtsqP7`>j=@eEU ziFE)((!fD#YA1|b+9hYbnj%k@av)mduwD>|jy3;R7o+|cK-ie*d*eDhO8XDb>xWb; zcaKM}S17D$80=dFoZB|zQYJio0D-ltrgg;-t#DW`OpZ>6tn@sRKs{`_v$?3Sd&-Pj z=6j-rYn=`=(DnUoscM5IC6eR`{dh$&6+2JFsnlM-;5{FNLEYSEz0n?0HjIF_-p3em zdpDWBU~MU7$s%V^7yLBMh8g|@iq~X~`QoA&qEn4d%8D%!pqn!>9IjClIb&GIIJYG` zDZUD={<_h{Ut`tKK(%=Ej)_z|{1ePocXJM8x605S$VwKG( zi1?=3@ZeL%f$G~eD!-@N5Pg6!N&~ySv~u1~*St(J7Acc`Go1wMRYEy66ICD}onT;6 z$grlZr%su$V#F*_zXg!B{3>y$EBz(;IQQCUg{8PN!1J;ppH1={3@3) zuwy7TZSn>4BB-$0Nv$H1pupkkb|;Wgyd{=ZsFi62&|g>(?fuRygrB;Mi@$=QMg{pg zDgH^_ABfg1%26{-$6z z_`C|9OdHO%3))_Or;4wNgBTkZ-5w73NBnoMK$j^Bp0p!e?W1N2X4s+%uc$l!)VIG? ze=pR((Q5%`XUBcc8_+k~YbO2U4ppSvmdW}3fn+cApL;>XofN&EYCr~^S?@$)nyM5R zFbEAtlB#Z(;{>MFrKfK%nQ~L9Y^>k#p-qlZS1zh)>D?8I4s>|u-?!%PDE*+GU*w8B zm+)c9O4J3}umVxm?^44s!)QDR!c$Xryt7WO^N>4#MVRecsZxF|uaTtGeSTGoY}UZ< zoH=?rDKhJH=cH8#Dy94A?I$8mmGGyNwAPYT!fz0*$Q#$gSR$vuk=;KU98 z_kxA5p+}75QQG*2#nsDt9;%HoX`5y9FX8?7aTDN*b1_^4FDKlJtHzYF*$VrZ2^xWO zfdkiKFQz6aM6VSRrvs8>Eg;&`Zv=!!FHKJi<=6TaL=4nEFS?r3eMmS|af0|a756NW zRS3R@!CznSHFgo0TkQVO;Lg*ih{Rt0)PEU@Cq1oT&!w-|&`IJNf?BaS+TsRu&+@1H zf~-<;EV4ix;i)nyOq$3n=#i`bYgM=8thDfNR|gKC9u`qFwpnu?G4CoAeGMhOYt4nK zCqj6K6w8FKf5iwp{$kwR*RFX`+FedP2nG@Jq!V)mDL%>BPwr(&iXv+V?IhckokyiK zTGx-4Togv!4!gDG_X}?@)E$=#1FutuO3!Rkh8pVZPUM8%9>_|uSg>pFzJNMxKh`Gx z6b(_UNu;b7Jx$jTjgxcDh84RPf`w+m=$`b6-zGe2c`0p8GJ|1&yrHv1#IM3%D#p9c z_JA_2fw_wCNY;zKwm{{nhXXY*nf%i9V=C88f~f~vINhDitWIqrPC^fa+2p9BVRN%6 z;_&)abh^}q!Q}H|?tC*XVTfncv&1%| z6(1fRjs7Bx9uFoR{O%e$?k1_SLZyHpsBsd-i$OUvB?(^3vfr6LV~zRTbRt$B5fsgm z{!FXLm4G{<_fH!lC7auv9EqeBn|F0b%)Q6BOl<1o*%*XS+csIys8j$qdmWY`%*Ixw zk1Z+|Fs>B&NLKN&H~>VxWX3?M_?|!O)m5fDbd-%gpAyW!SZ*x@tAF#**Iw_ znoY0DbV+32C0u`S+U`;n!Hl{d$oUP?G9sJHad@+#AJdNCK$}u#2VkrD$Hi+s->Q)J zv)l54$c3i%| zEj_LH)P6I3RR>-M(Oda6CKkOjqk#s)cMuGeZZ=D8Q(#4c>k}BVCO+Uf7MERIQ&qr= zP7G*_eoY|#;qM|NSAn%T*i@;FmXT|>+L(iMQjcybT4#EA^Tuq^!`MJOYqT0<7;VK^ z+FIF?x$#;CmVj`@lhVv&sY2RMv8YG=21}b^b<0uZ&u(9!R0sI8qw>y%2m|G~pEcwa zzU!_Aw~4~q30=tM%Y5YYPgDs3(|A;_>9iSerrO?G>8(HzmV^?xwU6c>H&$OrTG`6Gz!b!&$J;@|Rj{R>huT55kwnRm^8LI?{m;&k@-L6v)t$p@LWZ8llD%=r6A8M|Irvh<2Z(OXeQQ%j(54j5lK8mEpDu2;KLHem;%a+Pi6v%sKZ z(TV?}$=2aIPsi4~YKhnidR3sd`)K2qUM@(iYx>FHSH(}Jz^27dtYr1jS#bU$go}3h zD?h3QH9^aG5&CuecRls>lBW{qyh%+I-JV|%-(0mUYV@8LHtH!-NOr@m=icyTkqTU= zOwyAcin>>?sQWNq(7OBjkl`gTGIw73po_G#4j!Ty*vxe;*)R8USl>JFpsDYn_w}LX zL=!n92-y)P6KQ%`P>}82VjTx_Fw@0@&3c?%*R~n86RP1m;d>S67aq*d@gLo39gJQQ zw|%E}R_hLyuS+EwCyDN}m~Cg|t$E%f)8Ko|Q|n}hwA#r;bPqXbNnB>vO5J{=e z)9}pG1Ji|8?3_I#OLU+>gg~KhncXNZ4)p~Z#A6f_TrSGN|EwV5jxmN6n_*^=J|qqU%Ea^*J} zrP(z@)_*EUf*{}LgVsC;Tm>O1P@b(^$@lT7Xn>>H`xz+PDnzmgLz-Uso3>p)b%>?U z5=lU?Q_F7L(^_pLXw8TjYNXX)C z)kl)QCHy@Ra46?uI&wWiCe+?5(<5-|SmhNcc6%t^F^F`eOB=Sw4N(!TPO&hCuS4&Z zX-zMuBGJ@Kr_CzpN3A?=W^&;UeHNGO9VvVYH)KVQ+Ox8YRnXX+4Q3oqVrtT0W;jSaXHba9{`OqmSRemfFoo>B)D zb@HS-i4_71S-AS0xb7IYp(}2&u>Ssqk z)zsdj51{>E^rWY*_$OsVs?}Za!{iAUwIJI6F7mI8hq|W_+$t5C6<8p{cXZZ$>a+Zy!wC7* zISq@Ek_Oa)F}$zjO9}9D_3S&IDt+Se)K%AvrS3S7_!E+sNoKy`k)T4WxX=Vb2KSO_ zJCTxD@>ZjVCaY5kqs#RM>u=7N?us1xKj-yjnx18Y9_g-T0C)bMzbZqd^1-aca&)Xh zUK>AwUSUgZ)k|$3+w}7o;l|&Ve_lttE_sx>{PlSQW+ZuT#5;gPCj@e*6EaxlKqZ=n z_0UWSdE?xo7h<6xw^Gq@YRLW+tt9FIA%^TrMWpH9GV4yLUsNhNL^km;a;6`!^q20g zow=N(_+80*I>GtEehTvfod>0IDNJ#-Mc>X}TyG|Fm25?KD!uqBKOnBZOKu;`QBMS< z2jT^#LeOK``Yhyk6K))p^DSKXQ-hmw4^}nJcEYZb(CJjjq3&I9mS1|r^aVhaZRjc@ zpZQgzoU*T{(58stA6ZZG^GrT!a`v4Phj4zc4+|esKiGtVtW^K6*1kKesioWdfC37L z3W@>>K@R~$LI?=~1eJ~<^xma}W(p*sSwN(nh#(z9QHm6)f`qCd2-16#jtEkP1Bxh8 z?hZ#!^ZmYi|9JPqGkeyWvS!x$t(iQ-%xv=ttQc#?%)&vJP-=){a(-hrOHHp#OtEsZ zXweiiL^Dy9f-Sz_R0mmk*?U`w&nyz;E2vA&JD;7 z0T$9Q=A-ACg;tW?;Z6;+V09h<9%C<=t}8QQqxKhvq3lpGXUbzbI8jdXz)#@VdUL1J zi$eFaB@p>f%jUcUl22;kilu?I?%s#`KE1z)(GoF!Yop7DS^Hp=q-zt<$6~rdd$&sH<^=CO%sZg)OWc&!47~eJrP|an=>Oy3SSDr8B95v--5%$`zm(_Y97bn%3j0NKf;b9 z^NOF;4EroK&-5n`9u|*maLPWB;usIMu=8 zzi{>7IxSvyF8|_uMf_3Vyn0m@em){=pMKGe!2NId82hvJ7j?z;lwO`M~yVm`0RY7`Y&;@YnNZ1V*piEsEg!J$AreZ zs^X4CRp+^R7+8&EtFAWycZ`B{q9I#j%U@Rfb`vKQ-re7Xgj)-21;=~w!piTvY?6P2 z@D7CZ@_Kfy;{>X1n@nuGl)tZy2xd*6o*f*%?yDs23IueC_eb zS0f48fp_8R3LZVQRiUnQ)dD`A{pUa2E>1_sR-_mT){ZOa&$D6D>ZO=J6B9OdJ)2t8 zL{oXnO%D>jS&!dcAie8a9rOG4DWqoWOXu!NR?W9Tzn!w(HOqjgTQ6J=p~g=n4Fpaf zJpakdo1d-qDjR(#m9=QSM(wZczH-;nU&pM02Byy3u+e1H#h`l86%Pi^r5eR`*rue# zOXr*D9zk)idK9F9Vj6~=w2XzyvuZPM@M86H1_1i|nXIceJ3ZB%pTCTsr#xhqqHK#12W8dt(mABP0Ub><41LW3&kda5 z9@a^34xiu5X<`%rJ|){)o$C|UW^v$nCbl`&eKE*ku3FZ0cI#Z#>&4tNrUP9tc6SQA z@lIHzx))}+|7?nd3BezKxm94(c}sK9Q||e`P4eg4(vG*wn(j0C_9bIJ zNBnqxQV7oNkN9wpRrZHPG6SMw^CS86V>oVy4!|0I5c`B2d8PrG()-DJ%q?}&?_r<5x$^EGSV_jGuHgs`PtiIGWVgUGyKJJ<@2tj>Edl&Tox3VaQ{1nSxQ ztzEZRs*U!(*#_ftcsRdM>4hKPTIh;nRC4fIAN$J36~B*V#KVi!9YiPV0P++IFJ`Z4%)l5X8Cl#&^5Ixn?Y`n^7jrgKWnEMD`KIIort-`iz2GaHDf za*XTPGhljjx8NwB#0h9Ty`fnDgj+v9WUN<^pf^+@qUX>nE+=x<8i(x?aSNZb3 z+5?hIF~1=v8E**Ix>|S!N#C`EouYL_6nr?!FQoY>@!H!tlWx~hWN)yjPJy$sNMdu5 zlGkMu7tjvRRB6fuS}xXcy4ZcSw9?elDyaYxJUDRLuF!uV7dwsrfKkspa}_ zmG+~3N6vlAU$#$}kj_#xAOub@0&A$hTe$IPGj4JBye;d(#+|rtV1ILSsq50#`;RLR z`LbVoSkK~8J#3O6V&~<5DIo{}yI@a-^FEUMioScO4rbRe`B7~6x^xbiBNKg5E5J5h zwST_E02QjH{OTGb zNiyGH^LFo*0qKVcuYiwNUfg|;8aX*CO(f#%i6f>HCAfS2(w_ps%`7d{nY{OyGYH%D~!69Aj2yFyYe)X z60qo;Ak)VW768s`9e$PW!pn=Ma+0o4g&wzr3?B-cPHqFE$J!7y>PxUPRRqUlT=9l8AN5-$mHc0?rvOTlO71(J8>KZ?v((xXPXa_t_M$n!rqbjiJnvK(kCGu4#fsG=z9R zc!L3Pg}1B5PbOj@_?G6@g_Qj$)trSLE6_vNskrag5njAz=LvRn0s?L)JNJJEdkNK8 zyGWKt;cviTUS6Y-(7R}&zjC)1q99Zukj*^plaM;%zdheJV$H^=mHNqw7>*|Dc+7iC<9)bl%j zW)K1s7wWWb9YDtZ2tD3rR=ODo)M;h>2(8L1?CjzUD-CJlygkw$ARHf&$GX;v*Ey5{ z$2!5e-En02Oax8zo0ZVRVeT^dijRjX=T=NRHF0`ghI!(ss9$KRV|9a`>3%Dv&UEYi zHZoQ5=oM}iddGXS^fy9R&bo=sMSAB0kubf*oR3BTL<|Eevx^mKL8|6Nv z*x!aL;>L&4+${Rk_YJJDmZ>Udo-0#*caTe}OtqJ(rZs+K>T96Cg3iY0<_Njb3YfTH z+B{+rR)$^3CMs-R2_TGZ7pw@caO;PR&@Y|5-7`I3w&WWR-~!w--+xE@)e%GB|GMi$ zBR!U6wPxMp6P4erY~=k+59Aa~FijogikIJx8(V>`-t17BC#(fhF6$oOHhj3*l$3NU z8G3X(kq#ozg9H1G1_9&+QZ%i*8n?axkc|dEEE`PrEyQ(4ls?(#5*WSc)>(1u<;0~^ z2O^Ksb(tNYA6fcjy9y;w6UYAm`ZJoyC981Oz~S2(GU?gRP8|oZU0<_LNu1FPr{Zb& zyNdXqK9NCH)22A`%3_ecU0fS-VqG;G=vUQ3JEwKifRyfbc(FW-lYBs;%OoF}0`G-B z?DXK>H0Yx{LW0JVCpil#+__Kg5-5HwF_)ic7>xniG0+$Il3!{+ZyG_xnV^zT%l4$@()a#>esH?3DOBm z=uwji6?EopDD|%C(P+fndD(=`4arrC>6KSMyuI$R^L7EJ4mvaLPaD<040k<{!|d62 zYDkadpxz#Nx<7*26i)X;VKnc`?I=A5C_BFgy(4JnzpD%BP`z3Eg%W z>xmW9xjprvC2=Y7ont7QltTqJMDR_<(et(z=t<}T9z7L^BWo-7s~w(`p;sHrU2?J3oA8JvaU&{!C+I1orCR0Bap`RH6=d_@J2B-^oSU{6@l1+FToqDv3nG}rH3wG#%2)z=Vr z4439QctO+fO?Upd%HEuSwR*xTkj`^SF$1=}4jrQ^7h)%q<^j?tg7_sejWds~@IZsyXV+)Zu+* z^!%P5&g6=@2~~OYEe+^BvrreupQe?2^i2)uh5nrYQrhvS5jNK9{Y8(c&Q16AY}MSr z%uaTH1y{Mso-3%)CW;<*mNwL0Lk8z*tq!+S5fy{@scBlGjL(PHM~3M!x@Nk$SqjG< zKn8*d_*8Ro%UmO(1YP6bPHL>3CF(hcx zZP6>%yH4-NQ2ks#w4vY5C%Zw3X3Fncq$|#C@-riz*$uCAwo)N%?lU}Xr1!V~H!-*Y zk7$vzZ291=QE@tkc!6IZ&yq395l_7EpLhj2nZb$Zu#o1yl;%F~2k1iy=tD>A z2O9){4c^(p5Y8~fsKdftigJ{~f{Mj{r9`DDjaaXvYCU3MUEGnDc7M)6I9K`rSJEJ) zQ-j&4LdzHCjj@+`O7Rcine)f)h0qceuOVOM|3{u>%ejKhyVxvp@I9V@j1vx2E$0Qr z*XUP0oJ$r{6AT_Gr=|yzOA|&@W>#|hsukna=GlGP;k@WkwR{8U%URI}Nz=n!X<1hv zH@d(a`=q$;e#q@%-XMSO{D{B)=*w5R79WP9=lCqDK2bpuT79RqI@;^eCTH@__5HVG zJAHAcHM}2g_>?tY-Yh2Jb*36EU_pRv<>Ob7h5tpdk7i373(9 z|6n4%Gv(!3B@BJsFdzwCTPF-F5E3zXGDs3g)@PN_$B+mfM0*Sg1mpt&kAyCfU~hmS zgDfO;Rn$Ndh8S-$NCH^$aKb2me=Ax2kyDKT)B~f}1G;#TRYD8n;9{#p@CI2x0Ui=6 z3qnXEtym?Lfoy<85=d%KB#`xcv!wx=-fVe!kc5&g3A0z9ga!udi6Oh#+tP)6Z#g|> zk2LVGBk%Qv-XqC9mMY$!;NXIH0!f&-;1z*OvVJgF|B{6K|4S0rvBSE!dtm;H5@r4u ziAn^l!+%kn?EgXBf4`G-+y7xedRIUant;_D_N+)Zt^U85S3q<#{F|!;qO0mB?iCQd zOZtDaAsw*ah5ZfBBvwGG0|{ioO2@lC>mJ@b==lD7Q92-hlm+nZ;9-v;f9ExDk&=og~24@Py_<@BLe{_rHUchJ7aLR;(ru0bRlDb z63U9&+5`s-kkOE6>*j`W06E%XNtnMk;^z)1+mdau1Sh&rIsqQ|-6f4NL=w;vkc1=z z@kf#tP%}uvh=kE5;P*V(34^xvA$S0eX#gM}i`nz+zu@jo#5l4-L6R_5=${Wr8i9~P zfE+=8@Q?_kB;b$q2ZaBVCk+LLl7H|3LK4vIKX^#EED|vNzj?Ah*C&bisVo8}4fOM$ zWf4-ypYy?G01*8vAM$6p2wCXg%94q;E?5k4Z%8q4xsCyJ0Fuxr5CA;vX%`s5@QwtK cBpncYqZWy5OC;~<3n>i+6ec93s-wpGKd+K9DF6Tf literal 0 HcmV?d00001 diff --git a/Hardware/kicad/CANNode.sch b/Hardware/kicad/CANNode.sch index 7b81fef..7875e4d 100644 --- a/Hardware/kicad/CANNode.sch +++ b/Hardware/kicad/CANNode.sch @@ -1,6 +1,6 @@ EESchema Schematic File Version 4 LIBS:CANNode-cache -EELAYER 26 0 +EELAYER 30 0 EELAYER END $Descr User 9843 7874 encoding utf-8 @@ -15,7 +15,7 @@ Comment3 "CAN, 2*OneWire, 8*GPIO, RS485, 4*Diff. Out" Comment4 "Teensy 3.2 Board for Home Automation" $EndDescr $Comp -L teensy:Teensy3.2 U1 +L CANNode-rescue:Teensy3.2-teensy U1 U 1 1 5BE599D2 P 7050 2600 F 0 "U1" H 6350 3450 60 0000 C CNN @@ -59,7 +59,7 @@ F 3 "https://datasheets.maximintegrated.com/en/ds/MAX3483-MAX3491.pdf" H 2650 16 -1 0 0 -1 $EndComp $Comp -L 000_my_lib:DSN-MINI-360 MOD1 +L CANNode-rescue:DSN-MINI-360-000_my_lib MOD1 U 1 1 5BE5A42C P 2450 5450 F 0 "MOD1" H 2450 5450 45 0001 C CNN @@ -789,7 +789,7 @@ Wire Wire Line Wire Wire Line 5700 3300 5700 3850 $Comp -L 000_my_lib:MAX3032 U41 +L CANNode-rescue:MAX3032-000_my_lib U41 U 1 1 5C608233 P 2600 3350 F 0 "U41" H 2950 2600 50 0000 C CNN diff --git a/Hardware/kicad/DiffWS2811/DiffWS2811.kicad_pcb b/Hardware/kicad/DiffWS2811/DiffWS2811.kicad_pcb new file mode 100644 index 0000000..a91582d --- /dev/null +++ b/Hardware/kicad/DiffWS2811/DiffWS2811.kicad_pcb @@ -0,0 +1,1326 @@ +(kicad_pcb (version 20171130) (host pcbnew 5.0.0) + + (general + (thickness 1.6) + (drawings 17) + (tracks 52) + (zones 0) + (modules 12) + (nets 8) + ) + + (page A4) + (layers + (0 F.Cu signal hide) + (31 B.Cu signal) + (32 B.Adhes user hide) + (33 F.Adhes user hide) + (34 B.Paste user) + (35 F.Paste user hide) + (36 B.SilkS user) + (37 F.SilkS user hide) + (38 B.Mask user) + (39 F.Mask user hide) + (40 Dwgs.User user hide) + (41 Cmts.User user hide) + (42 Eco1.User user hide) + (43 Eco2.User user hide) + (44 Edge.Cuts user) + (45 Margin user hide) + (46 B.CrtYd user) + (47 F.CrtYd user hide) + (48 B.Fab user) + (49 F.Fab user hide) + ) + + (setup + (last_trace_width 0.25) + (user_trace_width 0.3) + (user_trace_width 0.8) + (user_trace_width 1.2) + (trace_clearance 0.2) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.2) + (segment_width 0.2) + (edge_width 0.15) + (via_size 0.8) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0.2) + (aux_axis_origin 0 0) + (visible_elements FFFFFF7F) + (pcbplotparams + (layerselection 0x010fc_ffffffff) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes false) + (creategerberjobfile false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "/home/wiebel/Workspace/CANNode/Hardware/kicad/DiffWS2811/gerber/")) + ) + + (net 0 "") + (net 1 "Net-(R2-Pad2)") + (net 2 "Net-(J2-Pad2)") + (net 3 GND) + (net 4 VCC) + (net 5 -VSW) + (net 6 /D+) + (net 7 /D-) + + (net_class Default "This is the default net class." + (clearance 0.2) + (trace_width 0.25) + (via_dia 0.8) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net -VSW) + (add_net /D+) + (add_net /D-) + (add_net GND) + (add_net "Net-(J2-Pad2)") + (add_net "Net-(R2-Pad2)") + (add_net VCC) + ) + + (module own:LOGO_MID (layer B.Cu) (tedit 0) (tstamp 5C046680) + (at 159.004 65.532 180) + (fp_text reference "" (at 0 0 180) (layer B.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15)) (justify mirror)) + ) + (fp_text value "" (at 0 0 180) (layer B.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15)) (justify mirror)) + ) + (fp_poly (pts (xy 0.049153 2.466359) (xy 0.029946 2.462459) (xy 0.013781 2.451281) (xy 0.003256 2.434781) + (xy 0 2.415481) (xy 0.004556 2.396381) (xy 0.016168 2.38064) (xy 0.032443 2.365659) + (xy 0.065212 2.33604) (xy 0.081487 2.321181) (xy 0.097871 2.306318) (xy 0.11415 2.291459) + (xy 0.130534 2.2767) (xy 0.146809 2.261818) (xy 0.163193 2.246959) (xy 0.195746 2.21724) + (xy 0.212131 2.202481) (xy 0.228515 2.1876) (xy 0.24479 2.17274) (xy 0.261175 2.157881) + (xy 0.277453 2.143018) (xy 0.293837 2.12814) (xy 0.310112 2.113281) (xy 0.326496 2.0983) + (xy 0.342771 2.083659) (xy 0.359159 2.0688) (xy 0.375434 2.053918) (xy 0.391818 2.039059) + (xy 0.408093 2.0242) (xy 0.424481 2.00944) (xy 0.440756 1.994575) (xy 0.473525 1.964843) + (xy 0.4898 1.949978) (xy 0.506187 1.935112) (xy 0.522462 1.920246) (xy 0.538843 1.905384) + (xy 0.555121 1.890625) (xy 0.571506 1.875759) (xy 0.587784 1.860893) (xy 0.604165 1.846028) + (xy 0.62044 1.831162) (xy 0.636828 1.816296) (xy 0.653103 1.80154) (xy 0.669487 1.786675) + (xy 0.685762 1.771812) (xy 0.702146 1.756837) (xy 0.718425 1.742078) (xy 0.751193 1.712346) + (xy 0.767468 1.697484) (xy 0.783856 1.682615) (xy 0.800131 1.667753) (xy 0.816512 1.652993) + (xy 0.83279 1.638131) (xy 0.849175 1.623262) (xy 0.865453 1.608396) (xy 0.881837 1.593534) + (xy 0.898112 1.578665) (xy 0.914496 1.563912) (xy 0.930771 1.549043) (xy 0.947159 1.534181) + (xy 0.963434 1.519312) (xy 0.979815 1.504559) (xy 0.996093 1.48969) (xy 1.028862 1.459962) + (xy 1.045137 1.445093) (xy 1.061521 1.430231) (xy 1.0778 1.415362) (xy 1.094184 1.40039) + (xy 1.110462 1.385634) (xy 1.143231 1.355903) (xy 1.159506 1.341146) (xy 1.175887 1.326281) + (xy 1.192165 1.311412) (xy 1.20855 1.29655) (xy 1.219509 1.286459) (xy 1.230468 1.276475) + (xy 1.241537 1.266384) (xy 1.252493 1.276475) (xy 1.263456 1.286459) (xy 1.274521 1.29655) + (xy 1.290146 1.310762) (xy 1.305662 1.324978) (xy 1.415037 1.424481) (xy 1.430771 1.438587) + (xy 1.446396 1.452909) (xy 1.461912 1.467121) (xy 1.493162 1.49555) (xy 1.508896 1.509875) + (xy 1.524521 1.524087) (xy 1.540037 1.538303) (xy 1.555771 1.552515) (xy 1.571396 1.566731) + (xy 1.58409 1.57834) (xy 1.596896 1.589953) (xy 1.60959 1.601562) (xy 1.622396 1.613281) + (xy 1.592231 1.629775) (xy 1.577037 1.638021) (xy 1.561959 1.646265) (xy 1.523328 1.667315) + (xy 1.504012 1.677953) (xy 1.48459 1.688478) (xy 1.465278 1.699003) (xy 1.42665 1.720162) + (xy 1.407228 1.730793) (xy 1.387912 1.741318) (xy 1.368596 1.751953) (xy 1.349284 1.762478) + (xy 1.329862 1.773003) (xy 1.310546 1.783637) (xy 1.291234 1.794162) (xy 1.271918 1.804796) + (xy 1.252606 1.815321) (xy 1.233181 1.825846) (xy 1.213865 1.836481) (xy 1.194553 1.847006) + (xy 1.175237 1.857531) (xy 1.136612 1.878687) (xy 1.117187 1.889321) (xy 1.097871 1.899846) + (xy 1.078559 1.910481) (xy 1.059137 1.921009) (xy 1.039821 1.931534) (xy 1.020509 1.942059) + (xy 1.001193 1.95269) (xy 0.981881 1.963325) (xy 0.962456 1.97385) (xy 0.94314 1.984375) + (xy 0.923828 1.995009) (xy 0.904512 2.00554) (xy 0.8852 2.016159) (xy 0.865778 2.0267) + (xy 0.846462 2.037218) (xy 0.827146 2.047859) (xy 0.807834 2.058381) (xy 0.788412 2.069018) + (xy 0.749784 2.090059) (xy 0.730468 2.100581) (xy 0.711046 2.111218) (xy 0.691731 2.121859) + (xy 0.672415 2.132381) (xy 0.652993 2.143018) (xy 0.633681 2.15354) (xy 0.614365 2.164181) + (xy 0.595053 2.1747) (xy 0.575631 2.185118) (xy 0.556312 2.195859) (xy 0.537 2.206381) + (xy 0.517578 2.217018) (xy 0.498262 2.22754) (xy 0.47895 2.238181) (xy 0.459637 2.2487) + (xy 0.440212 2.259218) (xy 0.420896 2.269859) (xy 0.401584 2.280381) (xy 0.382268 2.291018) + (xy 0.362846 2.30154) (xy 0.343534 2.312059) (xy 0.324218 2.3227) (xy 0.304906 2.333218) + (xy 0.28559 2.343859) (xy 0.266168 2.354381) (xy 0.246853 2.365018) (xy 0.227431 2.37554) + (xy 0.208115 2.386181) (xy 0.169487 2.407218) (xy 0.150065 2.417759) (xy 0.13075 2.428381) + (xy 0.111437 2.439018) (xy 0.092121 2.44954) (xy 0.0727 2.460059) (xy 0.061306 2.46474)) (layer B.SilkS) (width 0)) + (fp_poly (pts (xy 1.772787 1.683918) (xy 1.756512 1.669162) (xy 1.740125 1.654187) (xy 1.72385 1.639321) + (xy 1.707465 1.62435) (xy 1.691187 1.609375) (xy 1.674806 1.594509) (xy 1.658418 1.579537) + (xy 1.642143 1.564562) (xy 1.625759 1.549696) (xy 1.609375 1.534612) (xy 1.5931 1.519746) + (xy 1.576712 1.504884) (xy 1.560331 1.489909) (xy 1.544053 1.474937) (xy 1.527668 1.459962) + (xy 1.511393 1.445093) (xy 1.495009 1.430121) (xy 1.478625 1.415256) (xy 1.462346 1.400284) + (xy 1.429578 1.370334) (xy 1.413303 1.355468) (xy 1.380534 1.325521) (xy 1.364259 1.310656) + (xy 1.347871 1.295681) (xy 1.331596 1.280709) (xy 1.315212 1.26584) (xy 1.298828 1.250868) + (xy 1.282553 1.235893) (xy 1.266165 1.221028) (xy 1.249784 1.205946) (xy 1.233509 1.191187) + (xy 1.217121 1.176215) (xy 1.200846 1.16124) (xy 1.184462 1.146375) (xy 1.168078 1.131403) + (xy 1.1518 1.116428) (xy 1.135415 1.101562) (xy 1.11914 1.086587) (xy 1.102756 1.071721) + (xy 1.086481 1.05664) (xy 1.070093 1.041775) (xy 1.053712 1.026912) (xy 1.037437 1.011937) + (xy 1.02105 0.996962) (xy 1.004775 0.982096) (xy 0.972006 0.952146) (xy 0.955731 0.937284) + (xy 0.939343 0.922309) (xy 0.923068 0.907337) (xy 0.906684 0.892468) (xy 0.8903 0.877493) + (xy 0.874021 0.862521) (xy 0.857637 0.847656) (xy 0.841256 0.832684) (xy 0.824978 0.817709) + (xy 0.808593 0.80284) (xy 0.792315 0.787868) (xy 0.775934 0.772893) (xy 0.75955 0.758031) + (xy 0.743271 0.742946) (xy 0.726887 0.728081) (xy 0.710612 0.713215) (xy 0.67784 0.683268) + (xy 0.661565 0.668403) (xy 0.628796 0.638456) (xy 0.612521 0.623587) (xy 0.579753 0.59364) + (xy 0.563478 0.578775) (xy 0.530709 0.548828) (xy 0.514431 0.533962) (xy 0.498046 0.518987) + (xy 0.481771 0.504012) (xy 0.465387 0.48915) (xy 0.449003 0.474175) (xy 0.432725 0.459203) + (xy 0.41634 0.444228) (xy 0.400062 0.429362) (xy 0.383681 0.414281) (xy 0.367296 0.399521) + (xy 0.351018 0.38455) (xy 0.334637 0.369575) (xy 0.31825 0.354709) (xy 0.301975 0.339737) + (xy 0.28559 0.324762) (xy 0.269206 0.309896) (xy 0.252931 0.294921) (xy 0.220159 0.264975) + (xy 0.203884 0.250109) (xy 0.1875 0.235134) (xy 0.171225 0.220159) (xy 0.154837 0.205296) + (xy 0.138456 0.190321) (xy 0.122178 0.175456) (xy 0.105793 0.160481) (xy 0.089518 0.145509) + (xy 0.073134 0.13064) (xy 0.056859 0.115668) (xy 0.040471 0.100587) (xy 0.024087 0.085828) + (xy 0.013237 0.071615) (xy 0.008246 0.054471) (xy 0.00955 0.036675) (xy 0.017143 0.020509) + (xy 0.030056 0.008031) (xy 0.04644 0.001084) (xy 0.064237 0.000543) (xy 0.081056 0.006293) + (xy 0.100478 0.016928) (xy 0.1199 0.027668) (xy 0.139321 0.038303) (xy 0.158853 0.049043) + (xy 0.1977 0.070312) (xy 0.217121 0.080946) (xy 0.255968 0.102321) (xy 0.27539 0.113062) + (xy 0.294812 0.123696) (xy 0.314237 0.13444) (xy 0.353081 0.155709) (xy 0.372506 0.16645) + (xy 0.391928 0.176975) (xy 0.411459 0.187715) (xy 0.430881 0.198459) (xy 0.450303 0.20909) + (xy 0.469728 0.219837) (xy 0.48915 0.230468) (xy 0.508571 0.241209) (xy 0.547415 0.262478) + (xy 0.56684 0.273218) (xy 0.586371 0.283856) (xy 0.605687 0.294487) (xy 0.625109 0.305231) + (xy 0.644637 0.315862) (xy 0.664062 0.326496) (xy 0.683487 0.337237) (xy 0.722331 0.358509) + (xy 0.741753 0.36914) (xy 0.761175 0.379884) (xy 0.7806 0.390625) (xy 0.838865 0.422525) + (xy 0.858287 0.433268) (xy 0.877712 0.443903) (xy 0.897137 0.454643) (xy 0.935981 0.475912) + (xy 0.955403 0.486653) (xy 0.99425 0.507921) (xy 1.013671 0.518662) (xy 1.033203 0.529296) + (xy 1.052625 0.540037) (xy 1.091471 0.561306) (xy 1.110893 0.57205) (xy 1.149737 0.593315) + (xy 1.169162 0.604059) (xy 1.188587 0.61469) (xy 1.208009 0.625434) (xy 1.246853 0.646703) + (xy 1.266275 0.657337) (xy 1.285809 0.668078) (xy 1.305121 0.678712) (xy 1.324543 0.689453) + (xy 1.344075 0.700087) (xy 1.363496 0.710828) (xy 1.402343 0.732096) (xy 1.421765 0.742837) + (xy 1.460612 0.764106) (xy 1.480037 0.774846) (xy 1.518881 0.796115) (xy 1.538303 0.806859) + (xy 1.557725 0.81749) (xy 1.577146 0.828234) (xy 1.596571 0.838865) (xy 1.616103 0.8495) + (xy 1.635525 0.860243) (xy 1.654946 0.870878) (xy 1.674368 0.881618) (xy 1.713215 0.902887) + (xy 1.732637 0.913628) (xy 1.752168 0.924262) (xy 1.77159 0.935006) (xy 1.810437 0.956271) + (xy 1.829862 0.967012) (xy 1.849284 0.977646) (xy 1.868706 0.988387) (xy 1.907553 1.009659) + (xy 1.926975 1.0204) (xy 1.946396 1.031034) (xy 1.965928 1.041559) (xy 1.985353 1.052409) + (xy 2.004781 1.06304) (xy 2.0242 1.073787) (xy 2.06304 1.095053) (xy 2.082459 1.105793) + (xy 2.101881 1.116428) (xy 2.121318 1.127168) (xy 2.13434 1.116537) (xy 2.148318 1.107531) + (xy 2.1633 1.100153) (xy 2.17904 1.094509) (xy 2.17904 0.442709) (xy 2.182618 0.424043) + (xy 2.192918 0.408093) (xy 2.20854 0.397243) (xy 2.226881 0.393118) (xy 2.24554 0.396265) + (xy 2.261618 0.40614) (xy 2.277881 0.421115) (xy 2.294159 0.436087) (xy 2.31044 0.450956) + (xy 2.326818 0.465818) (xy 2.359381 0.49555) (xy 2.37564 0.510525) (xy 2.440759 0.569987) + (xy 2.45704 0.584962) (xy 2.489581 0.61469) (xy 2.505959 0.629559) (xy 2.538518 0.659287) + (xy 2.5548 0.674262) (xy 2.6199 0.733725) (xy 2.636181 0.748696) (xy 2.652459 0.763562) + (xy 2.66884 0.778428) (xy 2.685118 0.793293) (xy 2.6709 0.807184) (xy 2.656681 0.820962) + (xy 2.642581 0.834853) (xy 2.628359 0.84874) (xy 2.614259 0.862521) (xy 2.566081 0.818578) + (xy 2.55014 0.803928) (xy 2.501959 0.759984) (xy 2.486 0.745334) (xy 2.453881 0.716037) + (xy 2.43794 0.701281) (xy 2.3737 0.642793) (xy 2.35774 0.628146) (xy 2.32564 0.59885) + (xy 2.309681 0.584203) (xy 2.277559 0.554906) (xy 2.277559 1.100043) (xy 2.2971 1.110568) + (xy 2.314559 1.124134) (xy 2.330081 1.139975) (xy 2.28744 1.18164) (xy 2.273118 1.195531) + (xy 2.2589 1.209418) (xy 2.2487 1.19759) (xy 2.235559 1.189343) (xy 2.220259 1.186521) + (xy 2.201381 1.190106) (xy 2.185218 1.200737) (xy 2.174581 1.216906) (xy 2.171 1.235787) + (xy 2.173718 1.250759) (xy 2.18154 1.263781) (xy 2.192918 1.273871) (xy 2.1786 1.287868) + (xy 2.150181 1.315646) (xy 2.135859 1.329537) (xy 2.12164 1.343534) (xy 2.10764 1.328884) + (xy 2.0956 1.31239) (xy 2.085818 1.294812) (xy 2.078559 1.275825) (xy 2.074218 1.256078) + (xy 2.0727 1.235787) (xy 2.07314 1.2245) (xy 2.07444 1.213215) (xy 2.05524 1.20269) + (xy 1.997287 1.170787) (xy 1.977971 1.160262) (xy 1.939343 1.138996) (xy 1.919921 1.128362) + (xy 1.900715 1.117731) (xy 1.881293 1.107206) (xy 1.82335 1.075303) (xy 1.804037 1.064778) + (xy 1.784831 1.054143) (xy 1.746203 1.032878) (xy 1.726887 1.022353) (xy 1.688259 1.001087) + (xy 1.668943 0.990562) (xy 1.611003 0.958659) (xy 1.591687 0.948134) (xy 1.533743 0.916234) + (xy 1.514431 0.905709) (xy 1.456487 0.873806) (xy 1.437175 0.863281) (xy 1.359915 0.820746) + (xy 1.340603 0.810112) (xy 1.301975 0.788953) (xy 1.282662 0.778428) (xy 1.244034 0.757162) + (xy 1.224828 0.746637) (xy 1.186196 0.725368) (xy 1.166884 0.714843) (xy 1.10894 0.68294) + (xy 1.089628 0.672415) (xy 1.070421 0.661784) (xy 1.051106 0.65115) (xy 1.03179 0.640625) + (xy 0.97385 0.608725) (xy 0.954537 0.5982) (xy 0.89659 0.566296) (xy 0.877278 0.555771) + (xy 0.819337 0.523871) (xy 0.800021 0.513346) (xy 0.742078 0.481443) (xy 0.722762 0.470918) + (xy 0.684137 0.449653) (xy 0.664821 0.439128) (xy 0.645615 0.428493) (xy 0.626303 0.417753) + (xy 0.606987 0.407337) (xy 0.549043 0.375434) (xy 0.529731 0.364909) (xy 0.491103 0.34364) + (xy 0.471896 0.333115) (xy 0.433268 0.31185) (xy 0.413953 0.301325) (xy 0.394637 0.290687) + (xy 0.492296 0.379884) (xy 0.508571 0.394856) (xy 0.541125 0.424587) (xy 0.557509 0.439453) + (xy 0.573787 0.454318) (xy 0.590062 0.46929) (xy 0.67144 0.543618) (xy 0.687715 0.558593) + (xy 0.752821 0.617946) (xy 0.769206 0.632921) (xy 0.785481 0.647787) (xy 0.801759 0.662762) + (xy 0.899412 0.751953) (xy 0.915687 0.766928) (xy 0.931965 0.78179) (xy 0.94835 0.796659) + (xy 0.964628 0.811521) (xy 0.980793 0.826281) (xy 0.997178 0.841256) (xy 1.046009 0.88585) + (xy 1.062284 0.900825) (xy 1.176215 1.004884) (xy 1.19249 1.019856) (xy 1.208765 1.034612) + (xy 1.225043 1.049587) (xy 1.257593 1.079318) (xy 1.273981 1.094184) (xy 1.306534 1.123912) + (xy 1.322809 1.138781) (xy 1.453015 1.257812) (xy 1.46929 1.272787) (xy 1.583225 1.376843) + (xy 1.5995 1.391818) (xy 1.615778 1.406684) (xy 1.632162 1.42155) (xy 1.762368 1.540471) + (xy 1.778646 1.555446) (xy 1.84375 1.614909) (xy 1.829537 1.628687) (xy 1.815321 1.642578) + (xy 1.801215 1.656359) (xy 1.787 1.670246)) (layer B.SilkS) (width 0)) + (fp_poly (pts (xy 2.122059 1.070531) (xy 2.049159 1.0306) (xy 2.030381 1.02029) (xy 2.0115 1.01009) + (xy 1.992731 0.999784) (xy 1.973959 0.989475) (xy 1.955187 0.979275) (xy 1.936306 0.969075) + (xy 1.917537 0.958765) (xy 1.898762 0.948565) (xy 1.87999 0.938259) (xy 1.861112 0.928062) + (xy 1.842337 0.917753) (xy 1.823565 0.907553) (xy 1.804796 0.897243) (xy 1.786025 0.887043) + (xy 1.767143 0.876737) (xy 1.752062 0.868487) (xy 1.736762 0.860243) (xy 1.706381 0.84364) + (xy 1.71929 0.832137) (xy 1.732096 0.820531) (xy 1.745009 0.808918) (xy 1.757812 0.797309) + (xy 1.773437 0.782987) (xy 1.789171 0.768771) (xy 1.804796 0.75445) (xy 1.820421 0.740234) + (xy 1.836156 0.726018) (xy 1.851781 0.711696) (xy 1.867406 0.697484) (xy 1.883137 0.683268) + (xy 1.898762 0.668943) (xy 1.914387 0.654731) (xy 1.930121 0.640515) (xy 1.945746 0.626087) + (xy 1.961481 0.611981) (xy 1.977106 0.597656) (xy 1.992731 0.58344) (xy 2.008459 0.569118) + (xy 2.039718 0.540687) (xy 2.0535 0.528103) (xy 2.067159 0.515625) (xy 2.08094 0.503146) + (xy 2.108281 0.478187) (xy 2.122059 0.465712)) (layer B.SilkS) (width 0)) + (fp_poly (pts (xy 1.772787 1.683918) (xy 1.787 1.670246) (xy 1.801215 1.656359) (xy 1.815321 1.642578) + (xy 1.829537 1.628687) (xy 1.84375 1.614909) (xy 1.859915 1.629559) (xy 1.876193 1.644531) + (xy 1.892362 1.659287) (xy 1.908528 1.674153) (xy 1.924806 1.689018) (xy 1.940971 1.703775) + (xy 1.957246 1.71864) (xy 1.973415 1.733396) (xy 1.989584 1.748262) (xy 2.005859 1.763021) + (xy 2.022018 1.777887) (xy 2.0382 1.792643) (xy 2.054459 1.807509) (xy 2.07064 1.822265) + (xy 2.0868 1.837131) (xy 2.103081 1.851781) (xy 2.11924 1.866753) (xy 2.135418 1.881512) + (xy 2.1517 1.896375) (xy 2.167859 1.911134) (xy 2.167859 1.373696) (xy 2.15114 1.365668) + (xy 2.135859 1.355253) (xy 2.12164 1.343534) (xy 2.135859 1.329537) (xy 2.150181 1.315646) + (xy 2.1786 1.287868) (xy 2.192918 1.273871) (xy 2.20574 1.28179) (xy 2.220259 1.285156) + (xy 2.239259 1.281465) (xy 2.255318 1.270725) (xy 2.265959 1.254775) (xy 2.26964 1.235787) + (xy 2.266281 1.221896) (xy 2.2589 1.209418) (xy 2.273118 1.195531) (xy 2.28744 1.18164) + (xy 2.330081 1.139975) (xy 2.343 1.156575) (xy 2.353518 1.174696) (xy 2.36144 1.194337) + (xy 2.366218 1.214843) (xy 2.36784 1.235787) (xy 2.367181 1.250759) (xy 2.3865 1.261393) + (xy 2.405918 1.271918) (xy 2.42524 1.282662) (xy 2.444659 1.293293) (xy 2.483281 1.314562) + (xy 2.52214 1.335828) (xy 2.560759 1.357096) (xy 2.580181 1.367731) (xy 2.5995 1.378362) + (xy 2.618918 1.388996) (xy 2.657559 1.410262) (xy 2.676981 1.420896) (xy 2.696281 1.431534) + (xy 2.715718 1.442059) (xy 2.75434 1.463434) (xy 2.773759 1.474065) (xy 2.812381 1.495334) + (xy 2.8318 1.505965) (xy 2.85114 1.516603) (xy 2.870559 1.527237) (xy 2.889859 1.537868) + (xy 2.909281 1.548503) (xy 2.928618 1.559137) (xy 2.948018 1.569768) (xy 2.96734 1.580403) + (xy 2.986759 1.591037) (xy 3.025381 1.612196) (xy 3.0448 1.622937) (xy 3.06414 1.633571) + (xy 3.083559 1.644206) (xy 3.122159 1.665471) (xy 3.141618 1.676106) (xy 3.160918 1.68674) + (xy 3.18034 1.697375) (xy 3.218981 1.71864) (xy 3.238381 1.729275) (xy 3.277018 1.75054) + (xy 3.29644 1.761175) (xy 3.335081 1.782337) (xy 3.3545 1.792968) (xy 3.41244 1.824868) + (xy 3.431859 1.835503) (xy 3.451159 1.846137) (xy 3.470581 1.856771) (xy 3.489918 1.867406) + (xy 3.50934 1.878037) (xy 3.547981 1.899196) (xy 3.5868 1.920571) (xy 3.62544 1.94184) + (xy 3.644859 1.952475) (xy 3.683481 1.97374) (xy 3.702918 1.984375) (xy 3.722218 1.995009) + (xy 3.74164 2.00564) (xy 3.799581 2.03754) (xy 3.819 2.048181) (xy 3.85764 2.06934) + (xy 3.877059 2.080081) (xy 3.9157 2.10134) (xy 3.935118 2.111981) (xy 3.97374 2.13324) + (xy 3.993159 2.143881) (xy 4.012481 2.154518) (xy 4.031881 2.16514) (xy 4.051218 2.175781) + (xy 4.03494 2.1608) (xy 4.018559 2.14594) (xy 4.002281 2.130959) (xy 3.985881 2.1161) + (xy 3.969618 2.101118) (xy 3.953218 2.086159) (xy 3.936859 2.071281) (xy 3.920581 2.056318) + (xy 3.9042 2.041459) (xy 3.887918 2.026481) (xy 3.871518 2.0115) (xy 3.855259 1.996637) + (xy 3.838859 1.981662) (xy 3.822581 1.966796) (xy 3.8062 1.951821) (xy 3.78994 1.936959) + (xy 3.757159 1.907009) (xy 3.740881 1.892143) (xy 3.7245 1.877168) (xy 3.708218 1.862196) + (xy 3.69184 1.847331) (xy 3.675559 1.832465) (xy 3.659281 1.81749) (xy 3.642918 1.802625) + (xy 3.626518 1.787653) (xy 3.61024 1.772787) (xy 3.593981 1.757812) (xy 3.577581 1.742946) + (xy 3.5613 1.727971) (xy 3.544918 1.713109) (xy 3.52864 1.698134) (xy 3.512259 1.683268) + (xy 3.495981 1.668293) (xy 3.479618 1.653428) (xy 3.46334 1.638456) (xy 3.44694 1.623587) + (xy 3.430659 1.608615) (xy 3.414281 1.59364) (xy 3.398 1.578775) (xy 3.381718 1.563912) + (xy 3.36534 1.548937) (xy 3.348981 1.534071) (xy 3.3327 1.519096) (xy 3.3163 1.504121) + (xy 3.300018 1.489259) (xy 3.28364 1.474284) (xy 3.267359 1.459418) (xy 3.250981 1.444337) + (xy 3.2347 1.429578) (xy 3.2183 1.414606) (xy 3.202018 1.399737) (xy 3.185659 1.384765) + (xy 3.169381 1.3699) (xy 3.153 1.354925) (xy 3.136718 1.339953) (xy 3.12034 1.325087) + (xy 3.104059 1.310112) (xy 3.087659 1.295246) (xy 3.071381 1.280271) (xy 3.055 1.265409) + (xy 3.03874 1.250434) (xy 3.022481 1.235568) (xy 3.006081 1.220593) (xy 2.9898 1.205731) + (xy 2.973418 1.190756) (xy 2.95714 1.175887) (xy 2.94074 1.160809) (xy 2.924481 1.14605) + (xy 2.908081 1.131187) (xy 2.8918 1.116212) (xy 2.875559 1.101343) (xy 2.859159 1.086371) + (xy 2.842881 1.071506) (xy 2.8265 1.056534) (xy 2.810218 1.041559) (xy 2.79384 1.02669) + (xy 2.777559 1.011828) (xy 2.761281 0.996853) (xy 2.7449 0.981987) (xy 2.728618 0.967012) + (xy 2.71224 0.952146) (xy 2.695959 0.937175) (xy 2.679581 0.922309) (xy 2.6632 0.907337) + (xy 2.646918 0.892253) (xy 2.63054 0.877493) (xy 2.614259 0.862521) (xy 2.628359 0.84874) + (xy 2.642581 0.834853) (xy 2.656681 0.820962) (xy 2.6709 0.807184) (xy 2.685118 0.793293) + (xy 2.783418 0.883031) (xy 2.7998 0.898218) (xy 2.8162 0.913087) (xy 2.832581 0.928062) + (xy 2.86534 0.958009) (xy 2.881718 0.97309) (xy 2.898118 0.987956) (xy 2.9145 1.002931) + (xy 2.930781 1.017903) (xy 3.192918 1.257487) (xy 3.2093 1.272568) (xy 3.2258 1.287543) + (xy 3.27494 1.332465) (xy 3.291218 1.347437) (xy 3.307618 1.362196) (xy 3.602518 1.631837) + (xy 3.6188 1.646812) (xy 3.6353 1.661784) (xy 3.668081 1.691731) (xy 3.684359 1.706706) + (xy 3.70084 1.721681) (xy 3.717218 1.736653) (xy 3.7335 1.751628) (xy 3.766281 1.781575) + (xy 3.782781 1.79655) (xy 3.799159 1.811521) (xy 3.815418 1.826496) (xy 3.831918 1.841362) + (xy 3.8482 1.856443) (xy 3.8647 1.871418) (xy 3.881081 1.886393) (xy 3.897359 1.901365) + (xy 3.913759 1.91634) (xy 3.930218 1.931312) (xy 3.995781 1.991212) (xy 4.012059 2.006181) + (xy 4.028418 2.021159) (xy 4.044918 2.03614) (xy 4.0612 2.0511) (xy 4.356118 2.32054) + (xy 4.3725 2.335718) (xy 4.421659 2.38064) (xy 4.433481 2.396381) (xy 4.43814 2.415359) + (xy 4.434881 2.434781) (xy 4.424159 2.451281) (xy 4.407781 2.462559) (xy 4.38834 2.466359) + (xy 4.3762 2.46474) (xy 4.3648 2.460059) (xy 4.32594 2.4388) (xy 4.306518 2.428059) + (xy 4.287 2.417418) (xy 4.267581 2.4068) (xy 4.248159 2.39604) (xy 4.228718 2.3853) + (xy 4.2093 2.374681) (xy 4.170481 2.3534) (xy 4.151059 2.342659) (xy 4.1122 2.3214) + (xy 4.092781 2.310659) (xy 4.073359 2.300018) (xy 4.053918 2.289281) (xy 4.0345 2.27864) + (xy 4.015081 2.2679) (xy 3.995559 2.257259) (xy 3.97614 2.24664) (xy 3.9567 2.2359) + (xy 3.937281 2.225259) (xy 3.917859 2.214518) (xy 3.879018 2.193259) (xy 3.859581 2.1825) + (xy 3.82074 2.16124) (xy 3.80134 2.1504) (xy 3.762481 2.12924) (xy 3.743059 2.118481) + (xy 3.704218 2.097118) (xy 3.684781 2.086481) (xy 3.64594 2.065218) (xy 3.626518 2.054459) + (xy 3.607081 2.04384) (xy 3.587659 2.0331) (xy 3.54884 2.011818) (xy 3.529418 2.001081) + (xy 3.490559 1.979815) (xy 3.47114 1.969075) (xy 3.4323 1.947809) (xy 3.412859 1.937065) + (xy 3.39344 1.926434) (xy 3.374018 1.915687) (xy 3.335159 1.894421) (xy 3.31574 1.883681) + (xy 3.296218 1.873046) (xy 3.276918 1.862412) (xy 3.257381 1.851671) (xy 3.23794 1.841037) + (xy 3.218518 1.830293) (xy 3.1797 1.808918) (xy 3.160281 1.798287) (xy 3.121418 1.777018) + (xy 3.102 1.766275) (xy 3.082581 1.75564) (xy 3.06314 1.7449) (xy 3.0243 1.723634) + (xy 3.004881 1.71289) (xy 2.966018 1.691621) (xy 2.946618 1.680881) (xy 2.907781 1.659612) + (xy 2.88834 1.648871) (xy 2.868918 1.638237) (xy 2.8495 1.627493) (xy 2.830081 1.616862) + (xy 2.810559 1.606228) (xy 2.791118 1.595487) (xy 2.7717 1.584853) (xy 2.752281 1.574003) + (xy 2.71344 1.55284) (xy 2.694018 1.5421) (xy 2.674581 1.531465) (xy 2.655159 1.520725) + (xy 2.63574 1.51009) (xy 2.616218 1.49935) (xy 2.577359 1.478081) (xy 2.55794 1.467337) + (xy 2.5191 1.446071) (xy 2.499781 1.435331) (xy 2.480259 1.424696) (xy 2.460818 1.414062) + (xy 2.4414 1.403318) (xy 2.421981 1.392687) (xy 2.402559 1.381837) (xy 2.363718 1.360678) + (xy 2.3443 1.349937) (xy 2.324859 1.3393) (xy 2.311959 1.350912) (xy 2.297859 1.360893) + (xy 2.282559 1.36914) (xy 2.2665 1.375759) (xy 2.2665 2.023318) (xy 2.263018 2.041881) + (xy 2.252818 2.057718) (xy 2.2373 2.068459) (xy 2.218859 2.0727) (xy 2.200081 2.069659) + (xy 2.183818 2.059781) (xy 2.168081 2.045359) (xy 2.15224 2.030918) (xy 2.1364 2.016381) + (xy 2.120659 2.001959) (xy 2.088981 1.97309) (xy 2.07324 1.958659) (xy 2.0574 1.944228) + (xy 2.041559 1.929687) (xy 2.025718 1.915256) (xy 2.009981 1.900825) (xy 1.9783 1.871962) + (xy 1.962562 1.857421) (xy 1.930881 1.828559) (xy 1.915146 1.814128) (xy 1.883462 1.785262) + (xy 1.867621 1.770725) (xy 1.851887 1.756293) (xy 1.836046 1.741862) (xy 1.820312 1.727431) + (xy 1.804468 1.71289) (xy 1.788628 1.698459)) (layer B.SilkS) (width 0)) + (fp_poly (pts (xy 2.318581 1.995225) (xy 2.318581 1.4018) (xy 2.3915 1.441731) (xy 2.428159 1.461696) + (xy 2.4464 1.471681) (xy 2.501418 1.501628) (xy 2.51964 1.511503) (xy 2.537981 1.521484) + (xy 2.574659 1.54145) (xy 2.592881 1.551434) (xy 2.629559 1.571396) (xy 2.647781 1.581381) + (xy 2.666118 1.591362) (xy 2.726881 1.62435) (xy 2.714081 1.635959) (xy 2.701181 1.647568) + (xy 2.688359 1.659287) (xy 2.675559 1.670896) (xy 2.64324 1.700193) (xy 2.627059 1.714953) + (xy 2.6109 1.7296) (xy 2.594718 1.744359) (xy 2.5624 1.773656) (xy 2.54634 1.788303) + (xy 2.530159 1.803062) (xy 2.49784 1.832356) (xy 2.481659 1.847112) (xy 2.4655 1.861762) + (xy 2.449318 1.876518) (xy 2.417 1.905815) (xy 2.400818 1.920571) (xy 2.38444 1.935437) + (xy 2.36794 1.950412) (xy 2.351459 1.965168) (xy 2.335059 1.980253)) (layer B.SilkS) (width 0)) + (fp_poly (pts (xy 3.193259 1.200087) (xy 3.1823 1.189993) (xy 3.171218 1.179906) (xy 3.160281 1.169812) + (xy 3.113381 1.127168) (xy 3.097659 1.112956) (xy 3.035159 1.056096) (xy 3.019418 1.041884) + (xy 2.9413 0.970812) (xy 2.925559 0.956596) (xy 2.863059 0.899737) (xy 2.85014 0.888131) + (xy 2.837359 0.876412) (xy 2.82444 0.8648) (xy 2.81164 0.853187) (xy 2.872381 0.820203) + (xy 2.891718 0.809568) (xy 2.91114 0.799043) (xy 2.93044 0.788518) (xy 2.949759 0.777887) + (xy 2.969081 0.767253) (xy 2.9885 0.756728) (xy 3.0078 0.746203) (xy 3.02714 0.735568) + (xy 3.046559 0.725043) (xy 3.065859 0.714518) (xy 3.085159 0.703884) (xy 3.1045 0.693359) + (xy 3.123918 0.682834) (xy 3.143218 0.6722) (xy 3.162559 0.661675) (xy 3.181859 0.65104) + (xy 3.201159 0.640515) (xy 3.220581 0.62999) (xy 3.239918 0.619359) (xy 3.278518 0.598309) + (xy 3.297981 0.587675) (xy 3.317281 0.577146) (xy 3.336581 0.566512) (xy 3.355918 0.555987) + (xy 3.37534 0.545356) (xy 3.41394 0.524196) (xy 3.433381 0.513671) (xy 3.4527 0.503146) + (xy 3.472 0.492512) (xy 3.51064 0.471462) (xy 3.530059 0.460828) (xy 3.549359 0.450303) + (xy 3.5687 0.439668) (xy 3.588 0.429143) (xy 3.607418 0.418618) (xy 3.626759 0.407987) + (xy 3.646159 0.397462) (xy 3.665481 0.386828) (xy 3.704118 0.365668) (xy 3.723518 0.355143) + (xy 3.74284 0.344618) (xy 3.762159 0.333984) (xy 3.781581 0.323459) (xy 3.800881 0.312825) + (xy 3.8202 0.3023) (xy 3.83964 0.291559) (xy 3.878259 0.270615) (xy 3.897659 0.259981) + (xy 3.917 0.249459) (xy 3.9363 0.238825) (xy 3.955718 0.228296) (xy 3.975059 0.217662) + (xy 3.994359 0.20714) (xy 4.013781 0.196615) (xy 4.033081 0.185981) (xy 4.052418 0.175456) + (xy 4.071718 0.164821) (xy 4.09114 0.154296) (xy 4.110481 0.143771) (xy 4.129781 0.133028) + (xy 4.149081 0.122612) (xy 4.1685 0.111978) (xy 4.18784 0.101453) (xy 4.20724 0.090818) + (xy 4.226559 0.080293) (xy 4.245881 0.069662) (xy 4.2653 0.059137) (xy 4.284618 0.048503) + (xy 4.303918 0.037978) (xy 4.32324 0.027453) (xy 4.342659 0.016818) (xy 4.361981 0.006293) + (xy 4.3788 0.000978) (xy 4.396381 0.001843) (xy 4.412559 0.008896) (xy 4.42514 0.021265) + (xy 4.432618 0.037218) (xy 4.434018 0.054796) (xy 4.42914 0.071721) (xy 4.418618 0.085828) + (xy 4.385859 0.115559) (xy 4.369581 0.130315) (xy 4.3532 0.145181) (xy 4.336918 0.160046) + (xy 4.320518 0.174912) (xy 4.304259 0.189778) (xy 4.287859 0.204643) (xy 4.271581 0.219403) + (xy 4.255218 0.234265) (xy 4.23894 0.249131) (xy 4.222559 0.263996) (xy 4.206281 0.278862) + (xy 4.189881 0.293728) (xy 4.173618 0.308593) (xy 4.157218 0.323459) (xy 4.14094 0.338215) + (xy 4.124559 0.353081) (xy 4.108281 0.367946) (xy 4.091918 0.382812) (xy 4.07564 0.397678) + (xy 4.05924 0.412437) (xy 4.042981 0.4273) (xy 4.026581 0.442059) (xy 4.0103 0.457031) + (xy 3.977518 0.486762) (xy 3.96124 0.501628) (xy 3.944881 0.516493) (xy 3.928618 0.53114) + (xy 3.912218 0.546115) (xy 3.89594 0.560981) (xy 3.879559 0.575846) (xy 3.863281 0.590712) + (xy 3.846881 0.605468) (xy 3.830618 0.620334) (xy 3.814259 0.6352) (xy 3.797981 0.650062) + (xy 3.781581 0.664931) (xy 3.7653 0.679796) (xy 3.748918 0.694662) (xy 3.73264 0.709418) + (xy 3.699859 0.73915) (xy 3.683581 0.754012) (xy 3.667218 0.768881) (xy 3.65094 0.783743) + (xy 3.634559 0.798503) (xy 3.618281 0.813478) (xy 3.601881 0.828234) (xy 3.585618 0.8431) + (xy 3.569218 0.857962) (xy 3.55294 0.872831) (xy 3.5202 0.902562) (xy 3.503918 0.917315) + (xy 3.48764 0.932184) (xy 3.47124 0.94705) (xy 3.454981 0.961912) (xy 3.4222 0.991643) + (xy 3.405918 1.006512) (xy 3.389518 1.021375) (xy 3.373281 1.036134) (xy 3.356881 1.050996) + (xy 3.340618 1.065862) (xy 3.30784 1.095593) (xy 3.291559 1.110462) (xy 3.275159 1.125325) + (xy 3.258881 1.14019) (xy 3.2425 1.154946) (xy 3.22624 1.169812) (xy 3.215281 1.179906) + (xy 3.204218 1.189993)) (layer B.SilkS) (width 0)) + ) + + (module Capacitor_SMD:CP_Elec_3x5.4 (layer F.Cu) (tedit 5A841F9D) (tstamp 5C04539F) + (at 154.94 62.23 270) + (descr "SMT capacitor, aluminium electrolytic, 3x5.4, Nichicon ") + (tags "Capacitor Electrolytic") + (path /5C07F5DF) + (attr smd) + (fp_text reference C3 (at 0 2.54 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value CP_Small (at 0 2.7 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 1.5 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.65 -1.65) (end 1.65 1.65) (layer F.Fab) (width 0.1)) + (fp_line (start -0.825 -1.65) (end 1.65 -1.65) (layer F.Fab) (width 0.1)) + (fp_line (start -0.825 1.65) (end 1.65 1.65) (layer F.Fab) (width 0.1)) + (fp_line (start -1.65 -0.825) (end -1.65 0.825) (layer F.Fab) (width 0.1)) + (fp_line (start -1.65 -0.825) (end -0.825 -1.65) (layer F.Fab) (width 0.1)) + (fp_line (start -1.65 0.825) (end -0.825 1.65) (layer F.Fab) (width 0.1)) + (fp_line (start -1.110469 -0.8) (end -0.810469 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -0.960469 -0.95) (end -0.960469 -0.65) (layer F.Fab) (width 0.1)) + (fp_line (start 1.76 1.76) (end 1.76 1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.76 -1.76) (end 1.76 -1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.870563 -1.76) (end 1.76 -1.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.870563 1.76) (end 1.76 1.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.570563 -1.06) (end -0.870563 -1.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.570563 1.06) (end -0.870563 1.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.375 -1.435) (end -2 -1.435) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.1875 -1.6225) (end -2.1875 -1.2475) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.9 -1.9) (end 1.9 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 -1.05) (end 2.85 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.85 -1.05) (end 2.85 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.85 1.05) (end 1.9 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 1.05) (end 1.9 1.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.93 1.9) (end 1.9 1.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.93 -1.9) (end 1.9 -1.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.78 1.05) (end -0.93 1.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.78 -1.05) (end -0.93 -1.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.78 -1.05) (end -2.85 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.85 -1.05) (end -2.85 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.85 1.05) (end -1.78 1.05) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.6 0.6) (thickness 0.09))) + ) + (pad 1 smd rect (at -1.5 0 270) (size 2.2 1.6) (layers F.Cu F.Paste F.Mask) + (net 4 VCC)) + (pad 2 smd rect (at 1.5 0 270) (size 2.2 1.6) (layers F.Cu F.Paste F.Mask) + (net 3 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/CP_Elec_3x5.4.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm (layer F.Cu) (tedit 5B391E66) (tstamp 5C045D87) + (at 150.16 58.42) + (descr "SMD Solder Jumper, 1x1.5mm, rounded Pads, 0.3mm gap, open") + (tags "solder jumper open") + (path /5C066FFC) + (attr virtual) + (fp_text reference JP1 (at 0 -1.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Jumper (at 0 1.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_arc (start 0.7 -0.3) (end 1.4 -0.3) (angle -90) (layer F.SilkS) (width 0.12)) + (fp_arc (start 0.7 0.3) (end 0.7 1) (angle -90) (layer F.SilkS) (width 0.12)) + (fp_arc (start -0.7 0.3) (end -1.4 0.3) (angle -90) (layer F.SilkS) (width 0.12)) + (fp_arc (start -0.7 -0.3) (end -0.7 -1) (angle -90) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.3) (end -1.4 -0.3) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.7 1) (end -0.7 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -0.3) (end 1.4 0.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.7 -1) (end 0.7 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (pad 1 smd custom (at -0.65 0) (size 1 0.5) (layers F.Cu F.Mask) + (net 5 -VSW) (zone_connect 0) + (options (clearance outline) (anchor rect)) + (primitives + (gr_circle (center 0 0.25) (end 0.5 0.25) (width 0)) + (gr_circle (center 0 -0.25) (end 0.5 -0.25) (width 0)) + (gr_poly (pts + (xy 0 -0.75) (xy 0.5 -0.75) (xy 0.5 0.75) (xy 0 0.75)) (width 0)) + )) + (pad 2 smd custom (at 0.65 0) (size 1 0.5) (layers F.Cu F.Mask) + (net 4 VCC) (zone_connect 0) + (options (clearance outline) (anchor rect)) + (primitives + (gr_circle (center 0 0.25) (end 0.5 0.25) (width 0)) + (gr_circle (center 0 -0.25) (end 0.5 -0.25) (width 0)) + (gr_poly (pts + (xy 0 -0.75) (xy -0.5 -0.75) (xy -0.5 0.75) (xy 0 0.75)) (width 0)) + )) + ) + + (module 000_my_footprints:DC-DC (layer F.Cu) (tedit 5BF0935B) (tstamp 5C04619C) + (at 142.875 57.795) + (path /5C0664F1) + (fp_text reference MOD1 (at 12.065 9.261) (layer F.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_text value DSN-MINI-360 (at 5.969 7.229) (layer F.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_line (start -1.27 -1.27) (end -1.27 10.16) (layer F.SilkS) (width 0.127)) + (fp_line (start -1.27 10.16) (end 16.51 10.16) (layer F.SilkS) (width 0.127)) + (fp_line (start 16.51 10.16) (end 16.51 -1.27) (layer F.SilkS) (width 0.127)) + (fp_line (start 16.51 -1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.127)) + (fp_line (start -1.27 -1.27) (end -1.27 10.16) (layer B.SilkS) (width 0.127)) + (fp_line (start -1.27 -1.27) (end 16.51 -1.27) (layer B.SilkS) (width 0.127)) + (fp_line (start 16.51 10.16) (end 16.51 -1.27) (layer B.SilkS) (width 0.127)) + (fp_line (start 16.51 10.16) (end -1.27 10.16) (layer B.SilkS) (width 0.127)) + (fp_text user IN (at 0.635 0.635) (layer F.SilkS) + (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify left bottom)) + ) + (fp_text user OUT (at 14.605 0.635) (layer F.SilkS) + (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify right bottom)) + ) + (fp_text user GND (at 7.62 9.525) (layer F.SilkS) + (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify bottom)) + ) + (fp_text user IN (at 0.889 0.635) (layer B.SilkS) + (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify right bottom mirror)) + ) + (fp_text user OUT (at 14.351 0.635) (layer B.SilkS) + (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify left bottom mirror)) + ) + (fp_text user GND (at 7.62 9.525) (layer B.SilkS) + (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify bottom mirror)) + ) + (pad IN thru_hole rect (at 0 0) (size 1.308 1.308) (drill 0.8) (layers *.Cu *.Mask) + (net 5 -VSW) (solder_mask_margin 0.1016)) + (pad GND thru_hole rect (at 0 8.89) (size 1.308 1.308) (drill 0.8) (layers *.Cu *.Mask) + (net 3 GND) (solder_mask_margin 0.1016)) + (pad OUT thru_hole rect (at 15.24 0) (size 1.308 1.308) (drill 0.8) (layers *.Cu *.Mask) + (net 4 VCC) (solder_mask_margin 0.1016)) + (pad GND thru_hole rect (at 15.24 8.89) (size 1.308 1.308) (drill 0.8) (layers *.Cu *.Mask) + (net 3 GND) (solder_mask_margin 0.1016)) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5C0455CD) + (at 158.115 62.23 270) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5C07E1E5) + (attr smd) + (fp_text reference C2 (at -2.794 0.127 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value C_Small (at 0 1.65 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 4 VCC)) + (pad 2 smd roundrect (at 1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 3 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5C045765) + (at 149.86 62.23 270) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5C066F30) + (attr smd) + (fp_text reference C1 (at -0.254 1.524 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value C_Small (at 0 1.65 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 4 VCC)) + (pad 2 smd roundrect (at 1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 3 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 5BF09D59) (tstamp 5C0462B3) + (at 161.29 64.765 180) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5C066E44) + (fp_text reference J2 (at 0 -2.33 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x03 (at 0 7.41 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 2.54 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 GND)) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 "Net-(J2-Pad2)")) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 4 VCC)) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical (layer F.Cu) (tedit 5BF09D8E) (tstamp 5C042C4A) + (at 139.7 66.04 180) + (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x04 2.54mm single row") + (path /5C066D15) + (fp_text reference J1 (at 0 -2.33 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x04 (at 0 9.95 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 3.81 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 GND)) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 7 /D-)) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 6 /D+)) + (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 -VSW)) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_SO:SOIC-8_3.9x4.9mm_P1.27mm (layer B.Cu) (tedit 5BF09E24) (tstamp 5C04261E) + (at 149.987 62.23 180) + (descr "8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SOIC 1.27") + (path /5C06691A) + (attr smd) + (fp_text reference U1 (at 3.048 3.302 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MAX485E (at -1.651 3.302 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.95 2.45) (end 1.95 2.45) (layer B.Fab) (width 0.1)) + (fp_line (start 1.95 2.45) (end 1.95 -2.45) (layer B.Fab) (width 0.1)) + (fp_line (start 1.95 -2.45) (end -1.95 -2.45) (layer B.Fab) (width 0.1)) + (fp_line (start -1.95 -2.45) (end -1.95 1.45) (layer B.Fab) (width 0.1)) + (fp_line (start -1.95 1.45) (end -0.95 2.45) (layer B.Fab) (width 0.1)) + (fp_line (start -3.73 2.7) (end -3.73 -2.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.73 2.7) (end 3.73 -2.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.73 2.7) (end 3.73 2.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.73 -2.7) (end 3.73 -2.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.075 2.575) (end -2.075 2.525) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.075 2.575) (end 2.075 2.43) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.075 -2.575) (end 2.075 -2.43) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.075 -2.575) (end -2.075 -2.43) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.075 2.575) (end 2.075 2.575) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.075 -2.575) (end 2.075 -2.575) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.075 2.525) (end -3.475 2.525) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -2.7 1.905 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 1 "Net-(R2-Pad2)")) + (pad 2 smd rect (at -2.7 0.635 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 3 smd rect (at -2.7 -0.635 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 4 smd rect (at -2.7 -1.905 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 5 smd rect (at 2.7 -1.905 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 6 smd rect (at 2.7 -0.635 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 7 /D-)) + (pad 7 smd rect (at 2.7 0.635 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 6 /D+)) + (pad 8 smd rect (at 2.7 1.905 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 4 VCC)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer B.Cu) (tedit 5B36C52B) (tstamp 5C042601) + (at 156.709 62.23 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5C06E1D6) + (attr smd) + (fp_text reference R2 (at 0 1.65 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value R_Small (at 0 -1.65 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0 180) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + ) + (fp_line (start 1.85 -0.95) (end -1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end 1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end 1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end -1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 180) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 1 "Net-(R2-Pad2)")) + (pad 1 smd roundrect (at -1.025 0 180) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 2 "Net-(J2-Pad2)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer B.Cu) (tedit 5B36C52B) (tstamp 5C0425F0) + (at 143.51 62.23 270) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5C066BB7) + (attr smd) + (fp_text reference R1 (at -2.54 0 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value R_Small (at 0 -1.65 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.85 -0.95) (end -1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end 1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end 1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end -1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + ) + (pad 1 smd roundrect (at -1.025 0 270) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 6 /D+)) + (pad 2 smd roundrect (at 1.025 0 270) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 7 /D-)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module own:LOGO_MID (layer F.Cu) (tedit 0) (tstamp 5C046674) + (at 146.812 60.706 180) + (fp_text reference "" (at 0 0 180) (layer F.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_text value "" (at 0 0 180) (layer F.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_poly (pts (xy 3.193259 -1.200087) (xy 3.1823 -1.189993) (xy 3.171218 -1.179906) (xy 3.160281 -1.169812) + (xy 3.113381 -1.127168) (xy 3.097659 -1.112956) (xy 3.035159 -1.056096) (xy 3.019418 -1.041884) + (xy 2.9413 -0.970812) (xy 2.925559 -0.956596) (xy 2.863059 -0.899737) (xy 2.85014 -0.888131) + (xy 2.837359 -0.876412) (xy 2.82444 -0.8648) (xy 2.81164 -0.853187) (xy 2.872381 -0.820203) + (xy 2.891718 -0.809568) (xy 2.91114 -0.799043) (xy 2.93044 -0.788518) (xy 2.949759 -0.777887) + (xy 2.969081 -0.767253) (xy 2.9885 -0.756728) (xy 3.0078 -0.746203) (xy 3.02714 -0.735568) + (xy 3.046559 -0.725043) (xy 3.065859 -0.714518) (xy 3.085159 -0.703884) (xy 3.1045 -0.693359) + (xy 3.123918 -0.682834) (xy 3.143218 -0.6722) (xy 3.162559 -0.661675) (xy 3.181859 -0.65104) + (xy 3.201159 -0.640515) (xy 3.220581 -0.62999) (xy 3.239918 -0.619359) (xy 3.278518 -0.598309) + (xy 3.297981 -0.587675) (xy 3.317281 -0.577146) (xy 3.336581 -0.566512) (xy 3.355918 -0.555987) + (xy 3.37534 -0.545356) (xy 3.41394 -0.524196) (xy 3.433381 -0.513671) (xy 3.4527 -0.503146) + (xy 3.472 -0.492512) (xy 3.51064 -0.471462) (xy 3.530059 -0.460828) (xy 3.549359 -0.450303) + (xy 3.5687 -0.439668) (xy 3.588 -0.429143) (xy 3.607418 -0.418618) (xy 3.626759 -0.407987) + (xy 3.646159 -0.397462) (xy 3.665481 -0.386828) (xy 3.704118 -0.365668) (xy 3.723518 -0.355143) + (xy 3.74284 -0.344618) (xy 3.762159 -0.333984) (xy 3.781581 -0.323459) (xy 3.800881 -0.312825) + (xy 3.8202 -0.3023) (xy 3.83964 -0.291559) (xy 3.878259 -0.270615) (xy 3.897659 -0.259981) + (xy 3.917 -0.249459) (xy 3.9363 -0.238825) (xy 3.955718 -0.228296) (xy 3.975059 -0.217662) + (xy 3.994359 -0.20714) (xy 4.013781 -0.196615) (xy 4.033081 -0.185981) (xy 4.052418 -0.175456) + (xy 4.071718 -0.164821) (xy 4.09114 -0.154296) (xy 4.110481 -0.143771) (xy 4.129781 -0.133028) + (xy 4.149081 -0.122612) (xy 4.1685 -0.111978) (xy 4.18784 -0.101453) (xy 4.20724 -0.090818) + (xy 4.226559 -0.080293) (xy 4.245881 -0.069662) (xy 4.2653 -0.059137) (xy 4.284618 -0.048503) + (xy 4.303918 -0.037978) (xy 4.32324 -0.027453) (xy 4.342659 -0.016818) (xy 4.361981 -0.006293) + (xy 4.3788 -0.000978) (xy 4.396381 -0.001843) (xy 4.412559 -0.008896) (xy 4.42514 -0.021265) + (xy 4.432618 -0.037218) (xy 4.434018 -0.054796) (xy 4.42914 -0.071721) (xy 4.418618 -0.085828) + (xy 4.385859 -0.115559) (xy 4.369581 -0.130315) (xy 4.3532 -0.145181) (xy 4.336918 -0.160046) + (xy 4.320518 -0.174912) (xy 4.304259 -0.189778) (xy 4.287859 -0.204643) (xy 4.271581 -0.219403) + (xy 4.255218 -0.234265) (xy 4.23894 -0.249131) (xy 4.222559 -0.263996) (xy 4.206281 -0.278862) + (xy 4.189881 -0.293728) (xy 4.173618 -0.308593) (xy 4.157218 -0.323459) (xy 4.14094 -0.338215) + (xy 4.124559 -0.353081) (xy 4.108281 -0.367946) (xy 4.091918 -0.382812) (xy 4.07564 -0.397678) + (xy 4.05924 -0.412437) (xy 4.042981 -0.4273) (xy 4.026581 -0.442059) (xy 4.0103 -0.457031) + (xy 3.977518 -0.486762) (xy 3.96124 -0.501628) (xy 3.944881 -0.516493) (xy 3.928618 -0.53114) + (xy 3.912218 -0.546115) (xy 3.89594 -0.560981) (xy 3.879559 -0.575846) (xy 3.863281 -0.590712) + (xy 3.846881 -0.605468) (xy 3.830618 -0.620334) (xy 3.814259 -0.6352) (xy 3.797981 -0.650062) + (xy 3.781581 -0.664931) (xy 3.7653 -0.679796) (xy 3.748918 -0.694662) (xy 3.73264 -0.709418) + (xy 3.699859 -0.73915) (xy 3.683581 -0.754012) (xy 3.667218 -0.768881) (xy 3.65094 -0.783743) + (xy 3.634559 -0.798503) (xy 3.618281 -0.813478) (xy 3.601881 -0.828234) (xy 3.585618 -0.8431) + (xy 3.569218 -0.857962) (xy 3.55294 -0.872831) (xy 3.5202 -0.902562) (xy 3.503918 -0.917315) + (xy 3.48764 -0.932184) (xy 3.47124 -0.94705) (xy 3.454981 -0.961912) (xy 3.4222 -0.991643) + (xy 3.405918 -1.006512) (xy 3.389518 -1.021375) (xy 3.373281 -1.036134) (xy 3.356881 -1.050996) + (xy 3.340618 -1.065862) (xy 3.30784 -1.095593) (xy 3.291559 -1.110462) (xy 3.275159 -1.125325) + (xy 3.258881 -1.14019) (xy 3.2425 -1.154946) (xy 3.22624 -1.169812) (xy 3.215281 -1.179906) + (xy 3.204218 -1.189993)) (layer F.SilkS) (width 0)) + (fp_poly (pts (xy 2.318581 -1.995225) (xy 2.318581 -1.4018) (xy 2.3915 -1.441731) (xy 2.428159 -1.461696) + (xy 2.4464 -1.471681) (xy 2.501418 -1.501628) (xy 2.51964 -1.511503) (xy 2.537981 -1.521484) + (xy 2.574659 -1.54145) (xy 2.592881 -1.551434) (xy 2.629559 -1.571396) (xy 2.647781 -1.581381) + (xy 2.666118 -1.591362) (xy 2.726881 -1.62435) (xy 2.714081 -1.635959) (xy 2.701181 -1.647568) + (xy 2.688359 -1.659287) (xy 2.675559 -1.670896) (xy 2.64324 -1.700193) (xy 2.627059 -1.714953) + (xy 2.6109 -1.7296) (xy 2.594718 -1.744359) (xy 2.5624 -1.773656) (xy 2.54634 -1.788303) + (xy 2.530159 -1.803062) (xy 2.49784 -1.832356) (xy 2.481659 -1.847112) (xy 2.4655 -1.861762) + (xy 2.449318 -1.876518) (xy 2.417 -1.905815) (xy 2.400818 -1.920571) (xy 2.38444 -1.935437) + (xy 2.36794 -1.950412) (xy 2.351459 -1.965168) (xy 2.335059 -1.980253)) (layer F.SilkS) (width 0)) + (fp_poly (pts (xy 1.772787 -1.683918) (xy 1.787 -1.670246) (xy 1.801215 -1.656359) (xy 1.815321 -1.642578) + (xy 1.829537 -1.628687) (xy 1.84375 -1.614909) (xy 1.859915 -1.629559) (xy 1.876193 -1.644531) + (xy 1.892362 -1.659287) (xy 1.908528 -1.674153) (xy 1.924806 -1.689018) (xy 1.940971 -1.703775) + (xy 1.957246 -1.71864) (xy 1.973415 -1.733396) (xy 1.989584 -1.748262) (xy 2.005859 -1.763021) + (xy 2.022018 -1.777887) (xy 2.0382 -1.792643) (xy 2.054459 -1.807509) (xy 2.07064 -1.822265) + (xy 2.0868 -1.837131) (xy 2.103081 -1.851781) (xy 2.11924 -1.866753) (xy 2.135418 -1.881512) + (xy 2.1517 -1.896375) (xy 2.167859 -1.911134) (xy 2.167859 -1.373696) (xy 2.15114 -1.365668) + (xy 2.135859 -1.355253) (xy 2.12164 -1.343534) (xy 2.135859 -1.329537) (xy 2.150181 -1.315646) + (xy 2.1786 -1.287868) (xy 2.192918 -1.273871) (xy 2.20574 -1.28179) (xy 2.220259 -1.285156) + (xy 2.239259 -1.281465) (xy 2.255318 -1.270725) (xy 2.265959 -1.254775) (xy 2.26964 -1.235787) + (xy 2.266281 -1.221896) (xy 2.2589 -1.209418) (xy 2.273118 -1.195531) (xy 2.28744 -1.18164) + (xy 2.330081 -1.139975) (xy 2.343 -1.156575) (xy 2.353518 -1.174696) (xy 2.36144 -1.194337) + (xy 2.366218 -1.214843) (xy 2.36784 -1.235787) (xy 2.367181 -1.250759) (xy 2.3865 -1.261393) + (xy 2.405918 -1.271918) (xy 2.42524 -1.282662) (xy 2.444659 -1.293293) (xy 2.483281 -1.314562) + (xy 2.52214 -1.335828) (xy 2.560759 -1.357096) (xy 2.580181 -1.367731) (xy 2.5995 -1.378362) + (xy 2.618918 -1.388996) (xy 2.657559 -1.410262) (xy 2.676981 -1.420896) (xy 2.696281 -1.431534) + (xy 2.715718 -1.442059) (xy 2.75434 -1.463434) (xy 2.773759 -1.474065) (xy 2.812381 -1.495334) + (xy 2.8318 -1.505965) (xy 2.85114 -1.516603) (xy 2.870559 -1.527237) (xy 2.889859 -1.537868) + (xy 2.909281 -1.548503) (xy 2.928618 -1.559137) (xy 2.948018 -1.569768) (xy 2.96734 -1.580403) + (xy 2.986759 -1.591037) (xy 3.025381 -1.612196) (xy 3.0448 -1.622937) (xy 3.06414 -1.633571) + (xy 3.083559 -1.644206) (xy 3.122159 -1.665471) (xy 3.141618 -1.676106) (xy 3.160918 -1.68674) + (xy 3.18034 -1.697375) (xy 3.218981 -1.71864) (xy 3.238381 -1.729275) (xy 3.277018 -1.75054) + (xy 3.29644 -1.761175) (xy 3.335081 -1.782337) (xy 3.3545 -1.792968) (xy 3.41244 -1.824868) + (xy 3.431859 -1.835503) (xy 3.451159 -1.846137) (xy 3.470581 -1.856771) (xy 3.489918 -1.867406) + (xy 3.50934 -1.878037) (xy 3.547981 -1.899196) (xy 3.5868 -1.920571) (xy 3.62544 -1.94184) + (xy 3.644859 -1.952475) (xy 3.683481 -1.97374) (xy 3.702918 -1.984375) (xy 3.722218 -1.995009) + (xy 3.74164 -2.00564) (xy 3.799581 -2.03754) (xy 3.819 -2.048181) (xy 3.85764 -2.06934) + (xy 3.877059 -2.080081) (xy 3.9157 -2.10134) (xy 3.935118 -2.111981) (xy 3.97374 -2.13324) + (xy 3.993159 -2.143881) (xy 4.012481 -2.154518) (xy 4.031881 -2.16514) (xy 4.051218 -2.175781) + (xy 4.03494 -2.1608) (xy 4.018559 -2.14594) (xy 4.002281 -2.130959) (xy 3.985881 -2.1161) + (xy 3.969618 -2.101118) (xy 3.953218 -2.086159) (xy 3.936859 -2.071281) (xy 3.920581 -2.056318) + (xy 3.9042 -2.041459) (xy 3.887918 -2.026481) (xy 3.871518 -2.0115) (xy 3.855259 -1.996637) + (xy 3.838859 -1.981662) (xy 3.822581 -1.966796) (xy 3.8062 -1.951821) (xy 3.78994 -1.936959) + (xy 3.757159 -1.907009) (xy 3.740881 -1.892143) (xy 3.7245 -1.877168) (xy 3.708218 -1.862196) + (xy 3.69184 -1.847331) (xy 3.675559 -1.832465) (xy 3.659281 -1.81749) (xy 3.642918 -1.802625) + (xy 3.626518 -1.787653) (xy 3.61024 -1.772787) (xy 3.593981 -1.757812) (xy 3.577581 -1.742946) + (xy 3.5613 -1.727971) (xy 3.544918 -1.713109) (xy 3.52864 -1.698134) (xy 3.512259 -1.683268) + (xy 3.495981 -1.668293) (xy 3.479618 -1.653428) (xy 3.46334 -1.638456) (xy 3.44694 -1.623587) + (xy 3.430659 -1.608615) (xy 3.414281 -1.59364) (xy 3.398 -1.578775) (xy 3.381718 -1.563912) + (xy 3.36534 -1.548937) (xy 3.348981 -1.534071) (xy 3.3327 -1.519096) (xy 3.3163 -1.504121) + (xy 3.300018 -1.489259) (xy 3.28364 -1.474284) (xy 3.267359 -1.459418) (xy 3.250981 -1.444337) + (xy 3.2347 -1.429578) (xy 3.2183 -1.414606) (xy 3.202018 -1.399737) (xy 3.185659 -1.384765) + (xy 3.169381 -1.3699) (xy 3.153 -1.354925) (xy 3.136718 -1.339953) (xy 3.12034 -1.325087) + (xy 3.104059 -1.310112) (xy 3.087659 -1.295246) (xy 3.071381 -1.280271) (xy 3.055 -1.265409) + (xy 3.03874 -1.250434) (xy 3.022481 -1.235568) (xy 3.006081 -1.220593) (xy 2.9898 -1.205731) + (xy 2.973418 -1.190756) (xy 2.95714 -1.175887) (xy 2.94074 -1.160809) (xy 2.924481 -1.14605) + (xy 2.908081 -1.131187) (xy 2.8918 -1.116212) (xy 2.875559 -1.101343) (xy 2.859159 -1.086371) + (xy 2.842881 -1.071506) (xy 2.8265 -1.056534) (xy 2.810218 -1.041559) (xy 2.79384 -1.02669) + (xy 2.777559 -1.011828) (xy 2.761281 -0.996853) (xy 2.7449 -0.981987) (xy 2.728618 -0.967012) + (xy 2.71224 -0.952146) (xy 2.695959 -0.937175) (xy 2.679581 -0.922309) (xy 2.6632 -0.907337) + (xy 2.646918 -0.892253) (xy 2.63054 -0.877493) (xy 2.614259 -0.862521) (xy 2.628359 -0.84874) + (xy 2.642581 -0.834853) (xy 2.656681 -0.820962) (xy 2.6709 -0.807184) (xy 2.685118 -0.793293) + (xy 2.783418 -0.883031) (xy 2.7998 -0.898218) (xy 2.8162 -0.913087) (xy 2.832581 -0.928062) + (xy 2.86534 -0.958009) (xy 2.881718 -0.97309) (xy 2.898118 -0.987956) (xy 2.9145 -1.002931) + (xy 2.930781 -1.017903) (xy 3.192918 -1.257487) (xy 3.2093 -1.272568) (xy 3.2258 -1.287543) + (xy 3.27494 -1.332465) (xy 3.291218 -1.347437) (xy 3.307618 -1.362196) (xy 3.602518 -1.631837) + (xy 3.6188 -1.646812) (xy 3.6353 -1.661784) (xy 3.668081 -1.691731) (xy 3.684359 -1.706706) + (xy 3.70084 -1.721681) (xy 3.717218 -1.736653) (xy 3.7335 -1.751628) (xy 3.766281 -1.781575) + (xy 3.782781 -1.79655) (xy 3.799159 -1.811521) (xy 3.815418 -1.826496) (xy 3.831918 -1.841362) + (xy 3.8482 -1.856443) (xy 3.8647 -1.871418) (xy 3.881081 -1.886393) (xy 3.897359 -1.901365) + (xy 3.913759 -1.91634) (xy 3.930218 -1.931312) (xy 3.995781 -1.991212) (xy 4.012059 -2.006181) + (xy 4.028418 -2.021159) (xy 4.044918 -2.03614) (xy 4.0612 -2.0511) (xy 4.356118 -2.32054) + (xy 4.3725 -2.335718) (xy 4.421659 -2.38064) (xy 4.433481 -2.396381) (xy 4.43814 -2.415359) + (xy 4.434881 -2.434781) (xy 4.424159 -2.451281) (xy 4.407781 -2.462559) (xy 4.38834 -2.466359) + (xy 4.3762 -2.46474) (xy 4.3648 -2.460059) (xy 4.32594 -2.4388) (xy 4.306518 -2.428059) + (xy 4.287 -2.417418) (xy 4.267581 -2.4068) (xy 4.248159 -2.39604) (xy 4.228718 -2.3853) + (xy 4.2093 -2.374681) (xy 4.170481 -2.3534) (xy 4.151059 -2.342659) (xy 4.1122 -2.3214) + (xy 4.092781 -2.310659) (xy 4.073359 -2.300018) (xy 4.053918 -2.289281) (xy 4.0345 -2.27864) + (xy 4.015081 -2.2679) (xy 3.995559 -2.257259) (xy 3.97614 -2.24664) (xy 3.9567 -2.2359) + (xy 3.937281 -2.225259) (xy 3.917859 -2.214518) (xy 3.879018 -2.193259) (xy 3.859581 -2.1825) + (xy 3.82074 -2.16124) (xy 3.80134 -2.1504) (xy 3.762481 -2.12924) (xy 3.743059 -2.118481) + (xy 3.704218 -2.097118) (xy 3.684781 -2.086481) (xy 3.64594 -2.065218) (xy 3.626518 -2.054459) + (xy 3.607081 -2.04384) (xy 3.587659 -2.0331) (xy 3.54884 -2.011818) (xy 3.529418 -2.001081) + (xy 3.490559 -1.979815) (xy 3.47114 -1.969075) (xy 3.4323 -1.947809) (xy 3.412859 -1.937065) + (xy 3.39344 -1.926434) (xy 3.374018 -1.915687) (xy 3.335159 -1.894421) (xy 3.31574 -1.883681) + (xy 3.296218 -1.873046) (xy 3.276918 -1.862412) (xy 3.257381 -1.851671) (xy 3.23794 -1.841037) + (xy 3.218518 -1.830293) (xy 3.1797 -1.808918) (xy 3.160281 -1.798287) (xy 3.121418 -1.777018) + (xy 3.102 -1.766275) (xy 3.082581 -1.75564) (xy 3.06314 -1.7449) (xy 3.0243 -1.723634) + (xy 3.004881 -1.71289) (xy 2.966018 -1.691621) (xy 2.946618 -1.680881) (xy 2.907781 -1.659612) + (xy 2.88834 -1.648871) (xy 2.868918 -1.638237) (xy 2.8495 -1.627493) (xy 2.830081 -1.616862) + (xy 2.810559 -1.606228) (xy 2.791118 -1.595487) (xy 2.7717 -1.584853) (xy 2.752281 -1.574003) + (xy 2.71344 -1.55284) (xy 2.694018 -1.5421) (xy 2.674581 -1.531465) (xy 2.655159 -1.520725) + (xy 2.63574 -1.51009) (xy 2.616218 -1.49935) (xy 2.577359 -1.478081) (xy 2.55794 -1.467337) + (xy 2.5191 -1.446071) (xy 2.499781 -1.435331) (xy 2.480259 -1.424696) (xy 2.460818 -1.414062) + (xy 2.4414 -1.403318) (xy 2.421981 -1.392687) (xy 2.402559 -1.381837) (xy 2.363718 -1.360678) + (xy 2.3443 -1.349937) (xy 2.324859 -1.3393) (xy 2.311959 -1.350912) (xy 2.297859 -1.360893) + (xy 2.282559 -1.36914) (xy 2.2665 -1.375759) (xy 2.2665 -2.023318) (xy 2.263018 -2.041881) + (xy 2.252818 -2.057718) (xy 2.2373 -2.068459) (xy 2.218859 -2.0727) (xy 2.200081 -2.069659) + (xy 2.183818 -2.059781) (xy 2.168081 -2.045359) (xy 2.15224 -2.030918) (xy 2.1364 -2.016381) + (xy 2.120659 -2.001959) (xy 2.088981 -1.97309) (xy 2.07324 -1.958659) (xy 2.0574 -1.944228) + (xy 2.041559 -1.929687) (xy 2.025718 -1.915256) (xy 2.009981 -1.900825) (xy 1.9783 -1.871962) + (xy 1.962562 -1.857421) (xy 1.930881 -1.828559) (xy 1.915146 -1.814128) (xy 1.883462 -1.785262) + (xy 1.867621 -1.770725) (xy 1.851887 -1.756293) (xy 1.836046 -1.741862) (xy 1.820312 -1.727431) + (xy 1.804468 -1.71289) (xy 1.788628 -1.698459)) (layer F.SilkS) (width 0)) + (fp_poly (pts (xy 2.122059 -1.070531) (xy 2.049159 -1.0306) (xy 2.030381 -1.02029) (xy 2.0115 -1.01009) + (xy 1.992731 -0.999784) (xy 1.973959 -0.989475) (xy 1.955187 -0.979275) (xy 1.936306 -0.969075) + (xy 1.917537 -0.958765) (xy 1.898762 -0.948565) (xy 1.87999 -0.938259) (xy 1.861112 -0.928062) + (xy 1.842337 -0.917753) (xy 1.823565 -0.907553) (xy 1.804796 -0.897243) (xy 1.786025 -0.887043) + (xy 1.767143 -0.876737) (xy 1.752062 -0.868487) (xy 1.736762 -0.860243) (xy 1.706381 -0.84364) + (xy 1.71929 -0.832137) (xy 1.732096 -0.820531) (xy 1.745009 -0.808918) (xy 1.757812 -0.797309) + (xy 1.773437 -0.782987) (xy 1.789171 -0.768771) (xy 1.804796 -0.75445) (xy 1.820421 -0.740234) + (xy 1.836156 -0.726018) (xy 1.851781 -0.711696) (xy 1.867406 -0.697484) (xy 1.883137 -0.683268) + (xy 1.898762 -0.668943) (xy 1.914387 -0.654731) (xy 1.930121 -0.640515) (xy 1.945746 -0.626087) + (xy 1.961481 -0.611981) (xy 1.977106 -0.597656) (xy 1.992731 -0.58344) (xy 2.008459 -0.569118) + (xy 2.039718 -0.540687) (xy 2.0535 -0.528103) (xy 2.067159 -0.515625) (xy 2.08094 -0.503146) + (xy 2.108281 -0.478187) (xy 2.122059 -0.465712)) (layer F.SilkS) (width 0)) + (fp_poly (pts (xy 1.772787 -1.683918) (xy 1.756512 -1.669162) (xy 1.740125 -1.654187) (xy 1.72385 -1.639321) + (xy 1.707465 -1.62435) (xy 1.691187 -1.609375) (xy 1.674806 -1.594509) (xy 1.658418 -1.579537) + (xy 1.642143 -1.564562) (xy 1.625759 -1.549696) (xy 1.609375 -1.534612) (xy 1.5931 -1.519746) + (xy 1.576712 -1.504884) (xy 1.560331 -1.489909) (xy 1.544053 -1.474937) (xy 1.527668 -1.459962) + (xy 1.511393 -1.445093) (xy 1.495009 -1.430121) (xy 1.478625 -1.415256) (xy 1.462346 -1.400284) + (xy 1.429578 -1.370334) (xy 1.413303 -1.355468) (xy 1.380534 -1.325521) (xy 1.364259 -1.310656) + (xy 1.347871 -1.295681) (xy 1.331596 -1.280709) (xy 1.315212 -1.26584) (xy 1.298828 -1.250868) + (xy 1.282553 -1.235893) (xy 1.266165 -1.221028) (xy 1.249784 -1.205946) (xy 1.233509 -1.191187) + (xy 1.217121 -1.176215) (xy 1.200846 -1.16124) (xy 1.184462 -1.146375) (xy 1.168078 -1.131403) + (xy 1.1518 -1.116428) (xy 1.135415 -1.101562) (xy 1.11914 -1.086587) (xy 1.102756 -1.071721) + (xy 1.086481 -1.05664) (xy 1.070093 -1.041775) (xy 1.053712 -1.026912) (xy 1.037437 -1.011937) + (xy 1.02105 -0.996962) (xy 1.004775 -0.982096) (xy 0.972006 -0.952146) (xy 0.955731 -0.937284) + (xy 0.939343 -0.922309) (xy 0.923068 -0.907337) (xy 0.906684 -0.892468) (xy 0.8903 -0.877493) + (xy 0.874021 -0.862521) (xy 0.857637 -0.847656) (xy 0.841256 -0.832684) (xy 0.824978 -0.817709) + (xy 0.808593 -0.80284) (xy 0.792315 -0.787868) (xy 0.775934 -0.772893) (xy 0.75955 -0.758031) + (xy 0.743271 -0.742946) (xy 0.726887 -0.728081) (xy 0.710612 -0.713215) (xy 0.67784 -0.683268) + (xy 0.661565 -0.668403) (xy 0.628796 -0.638456) (xy 0.612521 -0.623587) (xy 0.579753 -0.59364) + (xy 0.563478 -0.578775) (xy 0.530709 -0.548828) (xy 0.514431 -0.533962) (xy 0.498046 -0.518987) + (xy 0.481771 -0.504012) (xy 0.465387 -0.48915) (xy 0.449003 -0.474175) (xy 0.432725 -0.459203) + (xy 0.41634 -0.444228) (xy 0.400062 -0.429362) (xy 0.383681 -0.414281) (xy 0.367296 -0.399521) + (xy 0.351018 -0.38455) (xy 0.334637 -0.369575) (xy 0.31825 -0.354709) (xy 0.301975 -0.339737) + (xy 0.28559 -0.324762) (xy 0.269206 -0.309896) (xy 0.252931 -0.294921) (xy 0.220159 -0.264975) + (xy 0.203884 -0.250109) (xy 0.1875 -0.235134) (xy 0.171225 -0.220159) (xy 0.154837 -0.205296) + (xy 0.138456 -0.190321) (xy 0.122178 -0.175456) (xy 0.105793 -0.160481) (xy 0.089518 -0.145509) + (xy 0.073134 -0.13064) (xy 0.056859 -0.115668) (xy 0.040471 -0.100587) (xy 0.024087 -0.085828) + (xy 0.013237 -0.071615) (xy 0.008246 -0.054471) (xy 0.00955 -0.036675) (xy 0.017143 -0.020509) + (xy 0.030056 -0.008031) (xy 0.04644 -0.001084) (xy 0.064237 -0.000543) (xy 0.081056 -0.006293) + (xy 0.100478 -0.016928) (xy 0.1199 -0.027668) (xy 0.139321 -0.038303) (xy 0.158853 -0.049043) + (xy 0.1977 -0.070312) (xy 0.217121 -0.080946) (xy 0.255968 -0.102321) (xy 0.27539 -0.113062) + (xy 0.294812 -0.123696) (xy 0.314237 -0.13444) (xy 0.353081 -0.155709) (xy 0.372506 -0.16645) + (xy 0.391928 -0.176975) (xy 0.411459 -0.187715) (xy 0.430881 -0.198459) (xy 0.450303 -0.20909) + (xy 0.469728 -0.219837) (xy 0.48915 -0.230468) (xy 0.508571 -0.241209) (xy 0.547415 -0.262478) + (xy 0.56684 -0.273218) (xy 0.586371 -0.283856) (xy 0.605687 -0.294487) (xy 0.625109 -0.305231) + (xy 0.644637 -0.315862) (xy 0.664062 -0.326496) (xy 0.683487 -0.337237) (xy 0.722331 -0.358509) + (xy 0.741753 -0.36914) (xy 0.761175 -0.379884) (xy 0.7806 -0.390625) (xy 0.838865 -0.422525) + (xy 0.858287 -0.433268) (xy 0.877712 -0.443903) (xy 0.897137 -0.454643) (xy 0.935981 -0.475912) + (xy 0.955403 -0.486653) (xy 0.99425 -0.507921) (xy 1.013671 -0.518662) (xy 1.033203 -0.529296) + (xy 1.052625 -0.540037) (xy 1.091471 -0.561306) (xy 1.110893 -0.57205) (xy 1.149737 -0.593315) + (xy 1.169162 -0.604059) (xy 1.188587 -0.61469) (xy 1.208009 -0.625434) (xy 1.246853 -0.646703) + (xy 1.266275 -0.657337) (xy 1.285809 -0.668078) (xy 1.305121 -0.678712) (xy 1.324543 -0.689453) + (xy 1.344075 -0.700087) (xy 1.363496 -0.710828) (xy 1.402343 -0.732096) (xy 1.421765 -0.742837) + (xy 1.460612 -0.764106) (xy 1.480037 -0.774846) (xy 1.518881 -0.796115) (xy 1.538303 -0.806859) + (xy 1.557725 -0.81749) (xy 1.577146 -0.828234) (xy 1.596571 -0.838865) (xy 1.616103 -0.8495) + (xy 1.635525 -0.860243) (xy 1.654946 -0.870878) (xy 1.674368 -0.881618) (xy 1.713215 -0.902887) + (xy 1.732637 -0.913628) (xy 1.752168 -0.924262) (xy 1.77159 -0.935006) (xy 1.810437 -0.956271) + (xy 1.829862 -0.967012) (xy 1.849284 -0.977646) (xy 1.868706 -0.988387) (xy 1.907553 -1.009659) + (xy 1.926975 -1.0204) (xy 1.946396 -1.031034) (xy 1.965928 -1.041559) (xy 1.985353 -1.052409) + (xy 2.004781 -1.06304) (xy 2.0242 -1.073787) (xy 2.06304 -1.095053) (xy 2.082459 -1.105793) + (xy 2.101881 -1.116428) (xy 2.121318 -1.127168) (xy 2.13434 -1.116537) (xy 2.148318 -1.107531) + (xy 2.1633 -1.100153) (xy 2.17904 -1.094509) (xy 2.17904 -0.442709) (xy 2.182618 -0.424043) + (xy 2.192918 -0.408093) (xy 2.20854 -0.397243) (xy 2.226881 -0.393118) (xy 2.24554 -0.396265) + (xy 2.261618 -0.40614) (xy 2.277881 -0.421115) (xy 2.294159 -0.436087) (xy 2.31044 -0.450956) + (xy 2.326818 -0.465818) (xy 2.359381 -0.49555) (xy 2.37564 -0.510525) (xy 2.440759 -0.569987) + (xy 2.45704 -0.584962) (xy 2.489581 -0.61469) (xy 2.505959 -0.629559) (xy 2.538518 -0.659287) + (xy 2.5548 -0.674262) (xy 2.6199 -0.733725) (xy 2.636181 -0.748696) (xy 2.652459 -0.763562) + (xy 2.66884 -0.778428) (xy 2.685118 -0.793293) (xy 2.6709 -0.807184) (xy 2.656681 -0.820962) + (xy 2.642581 -0.834853) (xy 2.628359 -0.84874) (xy 2.614259 -0.862521) (xy 2.566081 -0.818578) + (xy 2.55014 -0.803928) (xy 2.501959 -0.759984) (xy 2.486 -0.745334) (xy 2.453881 -0.716037) + (xy 2.43794 -0.701281) (xy 2.3737 -0.642793) (xy 2.35774 -0.628146) (xy 2.32564 -0.59885) + (xy 2.309681 -0.584203) (xy 2.277559 -0.554906) (xy 2.277559 -1.100043) (xy 2.2971 -1.110568) + (xy 2.314559 -1.124134) (xy 2.330081 -1.139975) (xy 2.28744 -1.18164) (xy 2.273118 -1.195531) + (xy 2.2589 -1.209418) (xy 2.2487 -1.19759) (xy 2.235559 -1.189343) (xy 2.220259 -1.186521) + (xy 2.201381 -1.190106) (xy 2.185218 -1.200737) (xy 2.174581 -1.216906) (xy 2.171 -1.235787) + (xy 2.173718 -1.250759) (xy 2.18154 -1.263781) (xy 2.192918 -1.273871) (xy 2.1786 -1.287868) + (xy 2.150181 -1.315646) (xy 2.135859 -1.329537) (xy 2.12164 -1.343534) (xy 2.10764 -1.328884) + (xy 2.0956 -1.31239) (xy 2.085818 -1.294812) (xy 2.078559 -1.275825) (xy 2.074218 -1.256078) + (xy 2.0727 -1.235787) (xy 2.07314 -1.2245) (xy 2.07444 -1.213215) (xy 2.05524 -1.20269) + (xy 1.997287 -1.170787) (xy 1.977971 -1.160262) (xy 1.939343 -1.138996) (xy 1.919921 -1.128362) + (xy 1.900715 -1.117731) (xy 1.881293 -1.107206) (xy 1.82335 -1.075303) (xy 1.804037 -1.064778) + (xy 1.784831 -1.054143) (xy 1.746203 -1.032878) (xy 1.726887 -1.022353) (xy 1.688259 -1.001087) + (xy 1.668943 -0.990562) (xy 1.611003 -0.958659) (xy 1.591687 -0.948134) (xy 1.533743 -0.916234) + (xy 1.514431 -0.905709) (xy 1.456487 -0.873806) (xy 1.437175 -0.863281) (xy 1.359915 -0.820746) + (xy 1.340603 -0.810112) (xy 1.301975 -0.788953) (xy 1.282662 -0.778428) (xy 1.244034 -0.757162) + (xy 1.224828 -0.746637) (xy 1.186196 -0.725368) (xy 1.166884 -0.714843) (xy 1.10894 -0.68294) + (xy 1.089628 -0.672415) (xy 1.070421 -0.661784) (xy 1.051106 -0.65115) (xy 1.03179 -0.640625) + (xy 0.97385 -0.608725) (xy 0.954537 -0.5982) (xy 0.89659 -0.566296) (xy 0.877278 -0.555771) + (xy 0.819337 -0.523871) (xy 0.800021 -0.513346) (xy 0.742078 -0.481443) (xy 0.722762 -0.470918) + (xy 0.684137 -0.449653) (xy 0.664821 -0.439128) (xy 0.645615 -0.428493) (xy 0.626303 -0.417753) + (xy 0.606987 -0.407337) (xy 0.549043 -0.375434) (xy 0.529731 -0.364909) (xy 0.491103 -0.34364) + (xy 0.471896 -0.333115) (xy 0.433268 -0.31185) (xy 0.413953 -0.301325) (xy 0.394637 -0.290687) + (xy 0.492296 -0.379884) (xy 0.508571 -0.394856) (xy 0.541125 -0.424587) (xy 0.557509 -0.439453) + (xy 0.573787 -0.454318) (xy 0.590062 -0.46929) (xy 0.67144 -0.543618) (xy 0.687715 -0.558593) + (xy 0.752821 -0.617946) (xy 0.769206 -0.632921) (xy 0.785481 -0.647787) (xy 0.801759 -0.662762) + (xy 0.899412 -0.751953) (xy 0.915687 -0.766928) (xy 0.931965 -0.78179) (xy 0.94835 -0.796659) + (xy 0.964628 -0.811521) (xy 0.980793 -0.826281) (xy 0.997178 -0.841256) (xy 1.046009 -0.88585) + (xy 1.062284 -0.900825) (xy 1.176215 -1.004884) (xy 1.19249 -1.019856) (xy 1.208765 -1.034612) + (xy 1.225043 -1.049587) (xy 1.257593 -1.079318) (xy 1.273981 -1.094184) (xy 1.306534 -1.123912) + (xy 1.322809 -1.138781) (xy 1.453015 -1.257812) (xy 1.46929 -1.272787) (xy 1.583225 -1.376843) + (xy 1.5995 -1.391818) (xy 1.615778 -1.406684) (xy 1.632162 -1.42155) (xy 1.762368 -1.540471) + (xy 1.778646 -1.555446) (xy 1.84375 -1.614909) (xy 1.829537 -1.628687) (xy 1.815321 -1.642578) + (xy 1.801215 -1.656359) (xy 1.787 -1.670246)) (layer F.SilkS) (width 0)) + (fp_poly (pts (xy 0.049153 -2.466359) (xy 0.029946 -2.462459) (xy 0.013781 -2.451281) (xy 0.003256 -2.434781) + (xy 0 -2.415481) (xy 0.004556 -2.396381) (xy 0.016168 -2.38064) (xy 0.032443 -2.365659) + (xy 0.065212 -2.33604) (xy 0.081487 -2.321181) (xy 0.097871 -2.306318) (xy 0.11415 -2.291459) + (xy 0.130534 -2.2767) (xy 0.146809 -2.261818) (xy 0.163193 -2.246959) (xy 0.195746 -2.21724) + (xy 0.212131 -2.202481) (xy 0.228515 -2.1876) (xy 0.24479 -2.17274) (xy 0.261175 -2.157881) + (xy 0.277453 -2.143018) (xy 0.293837 -2.12814) (xy 0.310112 -2.113281) (xy 0.326496 -2.0983) + (xy 0.342771 -2.083659) (xy 0.359159 -2.0688) (xy 0.375434 -2.053918) (xy 0.391818 -2.039059) + (xy 0.408093 -2.0242) (xy 0.424481 -2.00944) (xy 0.440756 -1.994575) (xy 0.473525 -1.964843) + (xy 0.4898 -1.949978) (xy 0.506187 -1.935112) (xy 0.522462 -1.920246) (xy 0.538843 -1.905384) + (xy 0.555121 -1.890625) (xy 0.571506 -1.875759) (xy 0.587784 -1.860893) (xy 0.604165 -1.846028) + (xy 0.62044 -1.831162) (xy 0.636828 -1.816296) (xy 0.653103 -1.80154) (xy 0.669487 -1.786675) + (xy 0.685762 -1.771812) (xy 0.702146 -1.756837) (xy 0.718425 -1.742078) (xy 0.751193 -1.712346) + (xy 0.767468 -1.697484) (xy 0.783856 -1.682615) (xy 0.800131 -1.667753) (xy 0.816512 -1.652993) + (xy 0.83279 -1.638131) (xy 0.849175 -1.623262) (xy 0.865453 -1.608396) (xy 0.881837 -1.593534) + (xy 0.898112 -1.578665) (xy 0.914496 -1.563912) (xy 0.930771 -1.549043) (xy 0.947159 -1.534181) + (xy 0.963434 -1.519312) (xy 0.979815 -1.504559) (xy 0.996093 -1.48969) (xy 1.028862 -1.459962) + (xy 1.045137 -1.445093) (xy 1.061521 -1.430231) (xy 1.0778 -1.415362) (xy 1.094184 -1.40039) + (xy 1.110462 -1.385634) (xy 1.143231 -1.355903) (xy 1.159506 -1.341146) (xy 1.175887 -1.326281) + (xy 1.192165 -1.311412) (xy 1.20855 -1.29655) (xy 1.219509 -1.286459) (xy 1.230468 -1.276475) + (xy 1.241537 -1.266384) (xy 1.252493 -1.276475) (xy 1.263456 -1.286459) (xy 1.274521 -1.29655) + (xy 1.290146 -1.310762) (xy 1.305662 -1.324978) (xy 1.415037 -1.424481) (xy 1.430771 -1.438587) + (xy 1.446396 -1.452909) (xy 1.461912 -1.467121) (xy 1.493162 -1.49555) (xy 1.508896 -1.509875) + (xy 1.524521 -1.524087) (xy 1.540037 -1.538303) (xy 1.555771 -1.552515) (xy 1.571396 -1.566731) + (xy 1.58409 -1.57834) (xy 1.596896 -1.589953) (xy 1.60959 -1.601562) (xy 1.622396 -1.613281) + (xy 1.592231 -1.629775) (xy 1.577037 -1.638021) (xy 1.561959 -1.646265) (xy 1.523328 -1.667315) + (xy 1.504012 -1.677953) (xy 1.48459 -1.688478) (xy 1.465278 -1.699003) (xy 1.42665 -1.720162) + (xy 1.407228 -1.730793) (xy 1.387912 -1.741318) (xy 1.368596 -1.751953) (xy 1.349284 -1.762478) + (xy 1.329862 -1.773003) (xy 1.310546 -1.783637) (xy 1.291234 -1.794162) (xy 1.271918 -1.804796) + (xy 1.252606 -1.815321) (xy 1.233181 -1.825846) (xy 1.213865 -1.836481) (xy 1.194553 -1.847006) + (xy 1.175237 -1.857531) (xy 1.136612 -1.878687) (xy 1.117187 -1.889321) (xy 1.097871 -1.899846) + (xy 1.078559 -1.910481) (xy 1.059137 -1.921009) (xy 1.039821 -1.931534) (xy 1.020509 -1.942059) + (xy 1.001193 -1.95269) (xy 0.981881 -1.963325) (xy 0.962456 -1.97385) (xy 0.94314 -1.984375) + (xy 0.923828 -1.995009) (xy 0.904512 -2.00554) (xy 0.8852 -2.016159) (xy 0.865778 -2.0267) + (xy 0.846462 -2.037218) (xy 0.827146 -2.047859) (xy 0.807834 -2.058381) (xy 0.788412 -2.069018) + (xy 0.749784 -2.090059) (xy 0.730468 -2.100581) (xy 0.711046 -2.111218) (xy 0.691731 -2.121859) + (xy 0.672415 -2.132381) (xy 0.652993 -2.143018) (xy 0.633681 -2.15354) (xy 0.614365 -2.164181) + (xy 0.595053 -2.1747) (xy 0.575631 -2.185118) (xy 0.556312 -2.195859) (xy 0.537 -2.206381) + (xy 0.517578 -2.217018) (xy 0.498262 -2.22754) (xy 0.47895 -2.238181) (xy 0.459637 -2.2487) + (xy 0.440212 -2.259218) (xy 0.420896 -2.269859) (xy 0.401584 -2.280381) (xy 0.382268 -2.291018) + (xy 0.362846 -2.30154) (xy 0.343534 -2.312059) (xy 0.324218 -2.3227) (xy 0.304906 -2.333218) + (xy 0.28559 -2.343859) (xy 0.266168 -2.354381) (xy 0.246853 -2.365018) (xy 0.227431 -2.37554) + (xy 0.208115 -2.386181) (xy 0.169487 -2.407218) (xy 0.150065 -2.417759) (xy 0.13075 -2.428381) + (xy 0.111437 -2.439018) (xy 0.092121 -2.44954) (xy 0.0727 -2.460059) (xy 0.061306 -2.46474)) (layer F.SilkS) (width 0)) + ) + + (gr_text WS28* (at 161.3 57.3) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (gr_line (start 161.798 67.056) (end 160.782 67.056) (layer B.SilkS) (width 0.2) (tstamp 5C04643E)) + (gr_line (start 160.782 67.056) (end 161.29 67.564) (layer B.SilkS) (width 0.2) (tstamp 5C04643D)) + (gr_line (start 161.29 67.564) (end 161.798 67.056) (layer B.SilkS) (width 0.2) (tstamp 5C04643C)) + (gr_line (start 161.29 66.04) (end 161.29 67.056) (layer B.SilkS) (width 0.2) (tstamp 5C04643B)) + (gr_line (start 161.29 67.564) (end 160.782 67.056) (layer F.SilkS) (width 0.2)) + (gr_line (start 161.798 67.056) (end 161.29 67.564) (layer F.SilkS) (width 0.2)) + (gr_line (start 160.782 67.056) (end 161.798 67.056) (layer F.SilkS) (width 0.2)) + (gr_line (start 161.29 66.04) (end 161.29 67.056) (layer F.SilkS) (width 0.2)) + (gr_arc (start 162 57) (end 163 57) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C045D54)) + (gr_arc (start 162 67.5) (end 162 68.5) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C045D51)) + (gr_arc (start 139 67.5) (end 138 67.5) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C045D4E)) + (gr_arc (start 139 57) (end 139 56) (angle -90) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 138 67.5) (end 138 57) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 162 68.5) (end 139 68.5) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 163 57) (end 163 67.5) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 139 56) (end 162 56) (layer Edge.Cuts) (width 0.15)) + + (segment (start 153.779 60.325) (end 155.684 62.23) (width 0.3) (layer B.Cu) (net 1)) + (segment (start 152.687 60.325) (end 153.779 60.325) (width 0.3) (layer B.Cu) (net 1)) + (segment (start 161.285 62.23) (end 161.29 62.225) (width 0.3) (layer B.Cu) (net 2)) + (segment (start 157.734 62.23) (end 161.285 62.23) (width 0.3) (layer B.Cu) (net 2)) + (segment (start 142.23 66.04) (end 142.875 66.685) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 139.7 66.04) (end 142.23 66.04) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 159.625 64.765) (end 158.115 63.255) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 161.29 64.765) (end 159.625 64.765) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 158.115 66.685) (end 158.115 63.255) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 155.415 63.255) (end 154.94 63.73) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 158.115 63.255) (end 155.415 63.255) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 158.115 66.685) (end 149.86 66.685) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 149.86 66.685) (end 142.875 66.685) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 149.86 63.255) (end 149.86 63.255) (width 0.3) (layer F.Cu) (net 3)) + (segment (start 149.86 63.255) (end 155.415 63.255) (width 0.3) (layer F.Cu) (net 3) (tstamp 5C046060)) + (via (at 149.86 63.255) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 148.98 64.135) (end 149.86 63.255) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 147.287 64.135) (end 148.98 64.135) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 152.687 61.595) (end 152.687 64.135) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 150.74 64.135) (end 152.687 64.135) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 149.86 63.255) (end 150.74 64.135) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 157.48 58.42) (end 150.83501 58.42) (width 1.2) (layer F.Cu) (net 4)) + (segment (start 158.115 57.795) (end 158.105 57.795) (width 1.2) (layer F.Cu) (net 4)) + (segment (start 158.105 57.795) (end 157.48 58.42) (width 1.2) (layer F.Cu) (net 4)) + (segment (start 159.635 59.685) (end 158.115 61.205) (width 1.2) (layer F.Cu) (net 4)) + (segment (start 161.29 59.685) (end 159.635 59.685) (width 1.2) (layer F.Cu) (net 4)) + (segment (start 158.115 57.795) (end 158.115 61.205) (width 1.2) (layer F.Cu) (net 4)) + (segment (start 155.415 61.205) (end 154.94 60.73) (width 1.2) (layer F.Cu) (net 4)) + (segment (start 158.115 61.205) (end 155.415 61.205) (width 1.2) (layer F.Cu) (net 4)) + (segment (start 149.86 61.205) (end 149.86 61.205) (width 0.3) (layer F.Cu) (net 4)) + (segment (start 149.86 61.205) (end 155.415 61.205) (width 0.3) (layer F.Cu) (net 4) (tstamp 5C04605E)) + (via (at 149.86 61.205) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 4)) + (segment (start 148.98 60.325) (end 149.86 61.205) (width 0.3) (layer B.Cu) (net 4)) + (segment (start 147.287 60.325) (end 148.98 60.325) (width 0.3) (layer B.Cu) (net 4)) + (segment (start 143.5 58.42) (end 142.875 57.795) (width 1.2) (layer F.Cu) (net 5)) + (segment (start 149.435 58.42) (end 143.5 58.42) (width 1.2) (layer F.Cu) (net 5)) + (segment (start 142.25 58.42) (end 142.875 57.795) (width 1.2) (layer F.Cu) (net 5)) + (segment (start 139.7 58.42) (end 142.25 58.42) (width 1.2) (layer F.Cu) (net 5)) + (segment (start 142.71 62.005) (end 143.51 61.205) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 140.745 62.005) (end 142.71 62.005) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 139.7 60.96) (end 140.745 62.005) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 146.124499 61.595) (end 147.287 61.595) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 145.714499 62.005) (end 146.124499 61.595) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 143.51 61.205) (end 144.31 62.005) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 144.31 62.005) (end 145.714499 62.005) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 142.71 62.455) (end 143.51 63.255) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 139.7 63.5) (end 140.745 62.455) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 140.745 62.455) (end 142.71 62.455) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 144.31 62.455) (end 145.714499 62.455) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 143.51 63.255) (end 144.31 62.455) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 145.714499 62.455) (end 146.124499 62.865) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 146.124499 62.865) (end 147.287 62.865) (width 0.25) (layer B.Cu) (net 7)) + + (zone (net 3) (net_name GND) (layer B.Cu) (tstamp 5C0468AB) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 138 56) (xy 163 56) (xy 163 68.5) (xy 138 68.5) + ) + ) + (filled_polygon + (pts + (xy 141.622843 56.893235) (xy 141.57356 57.141) (xy 141.57356 58.449) (xy 141.622843 58.696765) (xy 141.763191 58.906809) + (xy 141.973235 59.047157) (xy 142.221 59.09644) (xy 143.529 59.09644) (xy 143.776765 59.047157) (xy 143.986809 58.906809) + (xy 144.127157 58.696765) (xy 144.17644 58.449) (xy 144.17644 57.141) (xy 144.127157 56.893235) (xy 144.004722 56.71) + (xy 156.985278 56.71) (xy 156.862843 56.893235) (xy 156.81356 57.141) (xy 156.81356 58.449) (xy 156.862843 58.696765) + (xy 157.003191 58.906809) (xy 157.213235 59.047157) (xy 157.461 59.09644) (xy 158.769 59.09644) (xy 159.016765 59.047157) + (xy 159.226809 58.906809) (xy 159.367157 58.696765) (xy 159.41644 58.449) (xy 159.41644 57.141) (xy 159.367157 56.893235) + (xy 159.244722 56.71) (xy 161.93007 56.71) (xy 162.105655 56.744926) (xy 162.195225 56.804774) (xy 162.255074 56.894345) + (xy 162.29 57.06993) (xy 162.29 58.567185) (xy 161.869418 58.286161) (xy 161.436256 58.2) (xy 161.143744 58.2) + (xy 160.710582 58.286161) (xy 160.219375 58.614375) (xy 159.891161 59.105582) (xy 159.775908 59.685) (xy 159.891161 60.264418) + (xy 160.219375 60.755625) (xy 160.517761 60.955) (xy 160.219375 61.154375) (xy 160.025186 61.445) (xy 158.889805 61.445) + (xy 158.888127 61.436564) (xy 158.693586 61.145414) (xy 158.402436 60.950873) (xy 158.059001 60.88256) (xy 157.408999 60.88256) + (xy 157.065564 60.950873) (xy 156.774414 61.145414) (xy 156.709 61.243313) (xy 156.643586 61.145414) (xy 156.352436 60.950873) + (xy 156.009001 60.88256) (xy 155.446718 60.88256) (xy 154.388749 59.824592) (xy 154.344953 59.759047) (xy 154.085292 59.585546) + (xy 153.888779 59.546457) (xy 153.709765 59.426843) (xy 153.462 59.37756) (xy 151.912 59.37756) (xy 151.664235 59.426843) + (xy 151.454191 59.567191) (xy 151.313843 59.777235) (xy 151.26456 60.025) (xy 151.26456 60.625) (xy 151.313843 60.872765) + (xy 151.366768 60.951972) (xy 151.277 61.16869) (xy 151.277 61.30925) (xy 151.43575 61.468) (xy 152.56 61.468) + (xy 152.56 61.448) (xy 152.814 61.448) (xy 152.814 61.468) (xy 152.834 61.468) (xy 152.834 61.722) + (xy 152.814 61.722) (xy 152.814 62.738) (xy 153.93825 62.738) (xy 154.097 62.57925) (xy 154.097 62.43869) + (xy 154.010558 62.23) (xy 154.097 62.02131) (xy 154.097 61.88075) (xy 153.938252 61.722002) (xy 154.065845 61.722002) + (xy 154.46156 62.117718) (xy 154.46156 62.680001) (xy 154.529873 63.023436) (xy 154.724414 63.314586) (xy 155.015564 63.509127) + (xy 155.358999 63.57744) (xy 156.009001 63.57744) (xy 156.352436 63.509127) (xy 156.643586 63.314586) (xy 156.709 63.216687) + (xy 156.774414 63.314586) (xy 157.065564 63.509127) (xy 157.408999 63.57744) (xy 158.059001 63.57744) (xy 158.402436 63.509127) + (xy 158.693586 63.314586) (xy 158.888127 63.023436) (xy 158.889805 63.015) (xy 160.031867 63.015) (xy 160.219375 63.295625) + (xy 160.241033 63.310096) (xy 160.080302 63.376673) (xy 159.901673 63.555301) (xy 159.805 63.78869) (xy 159.805 64.47925) + (xy 159.96375 64.638) (xy 161.163 64.638) (xy 161.163 64.618) (xy 161.417 64.618) (xy 161.417 64.638) + (xy 161.437 64.638) (xy 161.437 64.892) (xy 161.417 64.892) (xy 161.417 66.09125) (xy 161.57575 66.25) + (xy 162.266309 66.25) (xy 162.290001 66.240186) (xy 162.290001 67.430065) (xy 162.255074 67.605655) (xy 162.195225 67.695226) + (xy 162.105655 67.755074) (xy 161.93007 67.79) (xy 159.216025 67.79) (xy 159.307327 67.698699) (xy 159.404 67.46531) + (xy 159.404 66.97075) (xy 159.24525 66.812) (xy 158.242 66.812) (xy 158.242 66.832) (xy 157.988 66.832) + (xy 157.988 66.812) (xy 156.98475 66.812) (xy 156.826 66.97075) (xy 156.826 67.46531) (xy 156.922673 67.698699) + (xy 157.013975 67.79) (xy 143.976025 67.79) (xy 144.067327 67.698699) (xy 144.164 67.46531) (xy 144.164 66.97075) + (xy 144.00525 66.812) (xy 143.002 66.812) (xy 143.002 66.832) (xy 142.748 66.832) (xy 142.748 66.812) + (xy 141.74475 66.812) (xy 141.586 66.97075) (xy 141.586 67.46531) (xy 141.682673 67.698699) (xy 141.773975 67.79) + (xy 139.06993 67.79) (xy 138.894345 67.755074) (xy 138.804774 67.695225) (xy 138.744926 67.605655) (xy 138.728883 67.525) + (xy 139.41425 67.525) (xy 139.573 67.36625) (xy 139.573 66.167) (xy 139.827 66.167) (xy 139.827 67.36625) + (xy 139.98575 67.525) (xy 140.676309 67.525) (xy 140.909698 67.428327) (xy 141.088327 67.249699) (xy 141.185 67.01631) + (xy 141.185 66.32575) (xy 141.02625 66.167) (xy 139.827 66.167) (xy 139.573 66.167) (xy 139.553 66.167) + (xy 139.553 65.913) (xy 139.573 65.913) (xy 139.573 65.893) (xy 139.827 65.893) (xy 139.827 65.913) + (xy 141.02625 65.913) (xy 141.03456 65.90469) (xy 141.586 65.90469) (xy 141.586 66.39925) (xy 141.74475 66.558) + (xy 142.748 66.558) (xy 142.748 65.55475) (xy 143.002 65.55475) (xy 143.002 66.558) (xy 144.00525 66.558) + (xy 144.164 66.39925) (xy 144.164 65.90469) (xy 156.826 65.90469) (xy 156.826 66.39925) (xy 156.98475 66.558) + (xy 157.988 66.558) (xy 157.988 65.55475) (xy 158.242 65.55475) (xy 158.242 66.558) (xy 159.24525 66.558) + (xy 159.404 66.39925) (xy 159.404 65.90469) (xy 159.307327 65.671301) (xy 159.128698 65.492673) (xy 158.895309 65.396) + (xy 158.40075 65.396) (xy 158.242 65.55475) (xy 157.988 65.55475) (xy 157.82925 65.396) (xy 157.334691 65.396) + (xy 157.101302 65.492673) (xy 156.922673 65.671301) (xy 156.826 65.90469) (xy 144.164 65.90469) (xy 144.067327 65.671301) + (xy 143.888698 65.492673) (xy 143.655309 65.396) (xy 143.16075 65.396) (xy 143.002 65.55475) (xy 142.748 65.55475) + (xy 142.58925 65.396) (xy 142.094691 65.396) (xy 141.861302 65.492673) (xy 141.682673 65.671301) (xy 141.586 65.90469) + (xy 141.03456 65.90469) (xy 141.185 65.75425) (xy 141.185 65.06369) (xy 141.088327 64.830301) (xy 140.909698 64.651673) + (xy 140.748967 64.585096) (xy 140.770625 64.570625) (xy 141.098839 64.079418) (xy 141.214092 63.5) (xy 141.157402 63.215) + (xy 142.16256 63.215) (xy 142.16256 63.580001) (xy 142.230873 63.923436) (xy 142.425414 64.214586) (xy 142.716564 64.409127) + (xy 143.059999 64.47744) (xy 143.960001 64.47744) (xy 144.245002 64.42075) (xy 145.877 64.42075) (xy 145.877 64.56131) + (xy 145.973673 64.794699) (xy 146.152302 64.973327) (xy 146.385691 65.07) (xy 147.00125 65.07) (xy 147.16 64.91125) + (xy 147.16 64.262) (xy 147.414 64.262) (xy 147.414 64.91125) (xy 147.57275 65.07) (xy 148.188309 65.07) + (xy 148.421698 64.973327) (xy 148.600327 64.794699) (xy 148.697 64.56131) (xy 148.697 64.42075) (xy 151.277 64.42075) + (xy 151.277 64.56131) (xy 151.373673 64.794699) (xy 151.552302 64.973327) (xy 151.785691 65.07) (xy 152.40125 65.07) + (xy 152.56 64.91125) (xy 152.56 64.262) (xy 152.814 64.262) (xy 152.814 64.91125) (xy 152.97275 65.07) + (xy 153.588309 65.07) (xy 153.634782 65.05075) (xy 159.805 65.05075) (xy 159.805 65.74131) (xy 159.901673 65.974699) + (xy 160.080302 66.153327) (xy 160.313691 66.25) (xy 161.00425 66.25) (xy 161.163 66.09125) (xy 161.163 64.892) + (xy 159.96375 64.892) (xy 159.805 65.05075) (xy 153.634782 65.05075) (xy 153.821698 64.973327) (xy 154.000327 64.794699) + (xy 154.097 64.56131) (xy 154.097 64.42075) (xy 153.93825 64.262) (xy 152.814 64.262) (xy 152.56 64.262) + (xy 151.43575 64.262) (xy 151.277 64.42075) (xy 148.697 64.42075) (xy 148.53825 64.262) (xy 147.414 64.262) + (xy 147.16 64.262) (xy 146.03575 64.262) (xy 145.877 64.42075) (xy 144.245002 64.42075) (xy 144.303436 64.409127) + (xy 144.594586 64.214586) (xy 144.789127 63.923436) (xy 144.85744 63.580001) (xy 144.85744 63.215) (xy 145.399698 63.215) + (xy 145.534168 63.34947) (xy 145.57657 63.412929) (xy 145.827962 63.580904) (xy 145.922169 63.599643) (xy 145.877 63.70869) + (xy 145.877 63.84925) (xy 146.03575 64.008) (xy 147.16 64.008) (xy 147.16 63.988) (xy 147.414 63.988) + (xy 147.414 64.008) (xy 148.53825 64.008) (xy 148.697 63.84925) (xy 148.697 63.70869) (xy 148.607232 63.491972) + (xy 148.660157 63.412765) (xy 148.70944 63.165) (xy 148.70944 63.15075) (xy 151.277 63.15075) (xy 151.277 63.29131) + (xy 151.363442 63.5) (xy 151.277 63.70869) (xy 151.277 63.84925) (xy 151.43575 64.008) (xy 152.56 64.008) + (xy 152.56 62.992) (xy 152.814 62.992) (xy 152.814 64.008) (xy 153.93825 64.008) (xy 154.097 63.84925) + (xy 154.097 63.70869) (xy 154.010558 63.5) (xy 154.097 63.29131) (xy 154.097 63.15075) (xy 153.93825 62.992) + (xy 152.814 62.992) (xy 152.56 62.992) (xy 151.43575 62.992) (xy 151.277 63.15075) (xy 148.70944 63.15075) + (xy 148.70944 62.565) (xy 148.660157 62.317235) (xy 148.601868 62.23) (xy 148.660157 62.142765) (xy 148.70944 61.895) + (xy 148.70944 61.295) (xy 148.677061 61.132218) (xy 148.825 61.280157) (xy 148.825 61.410874) (xy 148.982569 61.79128) + (xy 149.27372 62.082431) (xy 149.654126 62.24) (xy 150.065874 62.24) (xy 150.44628 62.082431) (xy 150.647961 61.88075) + (xy 151.277 61.88075) (xy 151.277 62.02131) (xy 151.363442 62.23) (xy 151.277 62.43869) (xy 151.277 62.57925) + (xy 151.43575 62.738) (xy 152.56 62.738) (xy 152.56 61.722) (xy 151.43575 61.722) (xy 151.277 61.88075) + (xy 150.647961 61.88075) (xy 150.737431 61.79128) (xy 150.895 61.410874) (xy 150.895 60.999126) (xy 150.737431 60.61872) + (xy 150.44628 60.327569) (xy 150.065874 60.17) (xy 149.935157 60.17) (xy 149.589749 59.824592) (xy 149.545953 59.759047) + (xy 149.286292 59.585546) (xy 149.057316 59.54) (xy 149.057312 59.54) (xy 148.98 59.524622) (xy 148.902688 59.54) + (xy 148.479115 59.54) (xy 148.309765 59.426843) (xy 148.062 59.37756) (xy 146.512 59.37756) (xy 146.264235 59.426843) + (xy 146.054191 59.567191) (xy 145.913843 59.777235) (xy 145.86456 60.025) (xy 145.86456 60.625) (xy 145.911786 60.862422) + (xy 145.827962 60.879096) (xy 145.57657 61.047071) (xy 145.534168 61.11053) (xy 145.399698 61.245) (xy 144.85744 61.245) + (xy 144.85744 60.879999) (xy 144.789127 60.536564) (xy 144.594586 60.245414) (xy 144.303436 60.050873) (xy 143.960001 59.98256) + (xy 143.059999 59.98256) (xy 142.716564 60.050873) (xy 142.425414 60.245414) (xy 142.230873 60.536564) (xy 142.16256 60.879999) + (xy 142.16256 61.245) (xy 141.157402 61.245) (xy 141.214092 60.96) (xy 141.098839 60.380582) (xy 140.770625 59.889375) + (xy 140.472239 59.69) (xy 140.770625 59.490625) (xy 141.098839 58.999418) (xy 141.214092 58.42) (xy 141.098839 57.840582) + (xy 140.770625 57.349375) (xy 140.279418 57.021161) (xy 139.846256 56.935) (xy 139.553744 56.935) (xy 139.120582 57.021161) + (xy 138.71 57.295503) (xy 138.71 57.06993) (xy 138.744926 56.894345) (xy 138.804774 56.804775) (xy 138.894345 56.744926) + (xy 139.06993 56.71) (xy 141.745278 56.71) + ) + ) + ) +) diff --git a/Hardware/kicad/DiffWS2811/DiffWS2811.pdf b/Hardware/kicad/DiffWS2811/DiffWS2811.pdf new file mode 100644 index 0000000000000000000000000000000000000000..3ae179cf4103ab7c68b314b110c83042cc79852e GIT binary patch literal 8968 zcmb_?cUV)+)~|{Jq99VFLqNJxlh8ph6cs}6RZ0R0p$DWRpdh^qgeC}~qx2@AB2_w} zgCM;(0qNY}dC&RIci!i@_x^GAGs&L)+iPXbYO`l&4TrkCBA*aHn1th{e?TA!5FiL} zut1VXNdW{@VD{E%8vqCoX#xZk5hygw8GlBZqhazeO9v|$Kt_hd1?>zowMZ!od_ z026mQlWCguRiSci+iFvKP8{$?Y1v;sG>e#?;>LbA0fj>6hhDcKUazAACis@iCW5@# z#Il~b>U)U^|4Gg7o}umeR=<%9mW+(kLAA(>Gdbn-^TQ8^bBT{UcYCDIw)A{Yn;Uu? z-)dVkwKkph>zxCB{ur4>D!=z`R`S?A0_a*GHdjoX+NQUT50_Z{F80p0IQgAV=_ptl zs)*?N zQOT}>Bnu5RidoRt5L>2S&#}bQfYd>_etKDU;8m=1G%v(i8RBh_Q!Jh5Z8$7htrgFP zBnchgDmPgUgZJ%VPAgPkrEbbSK+goBI7^0KRW;1!F4l0t@Xrw!y{@!he(9A4qm~Et zrlAlc8tdXId22)4vq??;;yXsfTt?NHr@OI}t{pCx9n?OC&MoD!?T=?a4N%0_4_wRe zty8n%zGLW`sV2MR8m_bO>>Y1Svk-yzJ?i?Y7l5W!htuO2P_3P0Ixs$JCp`Z1O|8sCEtcLFZ<=cA<2r%EDPM{`rB zwr@FTI*pmqGa4_t2hA=vntV>Xx6T(Iou4&jJQLW8f-SA2_<4k?O`mGGO*ouytqAxY z{c7?)Uc96B=yb90j+);KjgonEsj31RZ3z@Vc%Cc4NpmZacU6JQwT8}`i2@P-KPJ0kkk+r zl#6C&Q;avBPlx{Q z!JJmMD4Ajo;bL7;ZQhZti2V6>MwGSYCY(%JRk)~%PS?v^-wt@$nYdjj-THByqdAm@ zR2l2`?MJqj*Cw>g@bKg9u;?PRAh}Q?fsP0{4RPgW9=v%qU)wP3TPC>a;OlEcEQS}H zmn!n5F8R67$D8-8Gbop!+ikz=Dv2N}x(aY~r7%4qd|HHvzCXT`Y|Nhmx@#zE#hC~0 zzMeoL`7-!nL@k$d5UI~g0*M7e&v4cu<)HYI+LsauZB~9F+PSy#us922vf0e+jc2gT z@{C1s>T^(3wd!K;$?DXSbZCt{Qm<}H>CwsY!C3g#mZd~~tog$gkJEZJ%CX-S!+Xp& zXm2+K4V8dxXa-g0;+yY$?28h%os4PP1(sy4b0yr@OX!&LwB`JdHn^V`3Q35UHHr&I z-P1p*s(x6a{@o(>!MJBZmnoyPj*9L|zQq8CLWot`6HnxDd^$#3{-Pt(e)7Rnx7IQ> zRp`ywmb-aU(%@gz-yloVQe%NTJol7Gw2HAxEZk*6N3h#qIdgQEt?VTRIN2oIB0a!%;C z*4^U0Q&K!?4*EY?OE!m#FOzqWKdvkaWKXaw8sKOXw zWLgOUOtLCDub?~_Q<<42hSo6=bNo!^;i$&2stvRy3Ve1DBF2qeMP|X99|rqItB8YK z&7e&olUT@oUaVP-#RK48N~7#`9lHgK>-#4!MmvdB09HIzkFRQ12F>D>q|}Z5Wg+4& z-9->G-kYViG(hj$HW>fzP%N7H+D`(BL@P5`fHnvYGh=W0EBo8kAxwCgWEOXJjP9O=dm)DNk-^74KJ;8W#-wAcCvrT1WdS~LR!r(M z+Et0ps(fLMSxn6P0-ht+F1v+#&r8}4jUdMJT)kngWW7+cNXUnA;JdGx#1_x@-de2loNwYZw2 z2W+Icc`L)c$S0BkXuBjaM;L_m$3cgPR7PeIy+S7;@lm;qQvyz z)}(4o->F#tPk+V=c)j{P=+VjCY%VPN_QsQ*C^f(1O~FUpwx*1~JSLxN<`2n;GJ`F;p1lbLKw4<+r zHdi4=MMzJu=GLUFWAa6;AYsz!zV#M>v-Pi!#=+XVL5v)>*i*ygcvk)gQ9IV2?C9F%N+ ze_3AkxjFdpCy)Xr9(^EN2;-X^*wd@n*G_2=^DKbqZt%<-yvvo4@zWU&$<8gp9>i<- zpU+(Bs7ep8nwIV!lBWxa1-+O13CLm`vl&v zOGOM{-n{CPf>;BYEan>{R&MRtQRSXkOrM|XO;47-I!r3oYL!S9cFj-|KDs;kdwi!Z znCXc`xqTiA?36^tD`syL;cLI^0H zdKeCX(x1he2y4*7Wo(~m4rNoI2mB$UxzBGG5M|#KU(YEm$+xpnoerk0E&(jWuAK~K z`1vSluRZ5sAwS-+dD4&vxH{h)g$NDOFI0)~d3HnmW8Z+B(%V&o7hMqq9tvfSH2W(7 z^W+7+P59LfyjL5Ii-24sT9ApE!*Bo-go0`Q`M_w&Ha%YX%Egeo&p^JnkqHcay^P)v z-Hvwb_rDf>&=Q1GuEMO!F;FjGC+nk`?5APR?VO_gZnA4?D4946jp}R|tbC#3yqD_b zaz}FYHIMsfD9Pz!l4v$;`(v{$EKzDb?)L$R#jalLUX`*4`% zdzIHx@Rs0aNBXbXTa>c=h(_t8k6=}*s3h)-XQYBHS)X!vN^*eXYdF~x@@fyd3X*?J%7YokWfc8U=ERYAJ0Gqd z8ya}L^p4G4zALFsMNY7%Vc}7S0(_7JxGjf$yHZ?azpO6z@xnGaDL z*ZNNf#~z}4&q~lN)+cggwx=}VOoX+bvv(zNCT&JF20d5L!kHAsO@6&8Qs@}jeUsSo zv!gMGV3H^4fGIi?bzN8nv0yfNQJ+!}@96Qq_O{(N;u*iq>rX)F|%&k4emHtUO! z{=%BWNi7Q)EJ!V_E*lJzOn+@`_nrYn-9KpNxpOYG`4b{Zl=M6H=R~sftgYZ3$c;uf zCpa>r=yrOb;uX{QqjHAbHRH316NQ)~+JI_GeaZM_GpfNQSZ<|P+S>gJqm(b@nMit@ zB(g-D24Q!w@}a@l%B|B6PCgT)2=^-&w=AC)uXRe#4$4}8V|h14GjqQByot3VTfK7SA%VR8;|Uy zH$q0D^6r%|z#Gu-~mF zh7`&+Lf_R*tIuI7g{VhG#76UroP($2%0eVYv?H)~-(Np1mIUFNnQaYTsa674d-8U9 z*_ECXeH|;)6iTDY=>coOd56_HzZ?rLk=jWYXy%@_kLk8)M$hl=AB`P^`JHc{rl@6X zrn~TQVO+XW@FeUTFscwWtGFNccw>fY-LIyibs`^gwdc61nbyBoajuMnLT+5y8sX zU>aF#y2-%M5*mE3DPG#ukd4`jCG|Uxx zwfCj*&FZt=bVhIS_uZr`Lvpc-^((XsbpyQAxEODyZQ58?pU1?x-^RBvZnv44M&K_& z{iDd)I?_BFwgM+vswuE=8*4(qjf|86w&K6ESX(xweSNA->W*_R+{D$6=CCAkd(T4c|^PLQ!>;Pu9P4rvUBG#bUQq?*UVpVUIzaj=&7MrbVBxvM^;;`; z_G{04FatwF2`#msA@5k`M6MTa%OV9o6OIweKeS?P1H+b&$MlL8*^~oaWq!>JJU96i zlFrXBf(F@H~}NDF?G(bO`L_r2-oUcq6~9kR5iI&{@aA$q9~|Z5tnf z4m=YW2bJu*XTQ4jom9$EGLXgJJAUc-JJg~TB{TXzhQfs3P0R2uh&{26ocX*$x4SvQa(XpE8(Fz z52=~hVC!B8^n$Z%zF%BU9?%m| z^oe#oQ270AdTv}#LBeN-**9f6z-Emt!ZA$G@8;|TajLZKxAzOP-NsHal;V4$Ae_US z39;FMen=`UsjL~=qC}d_WDd=kes|jBLl>4_I59}&!{jhPnr1zS**DyxC1k^7;?{fF zw4+7UAh$&YuDS8RF9lU?fnRihN87g@hg2HJ@V*|Qxv9kV3oclSTEx*G>>H3*>d(sa8C5*CK6a|PUfw?FAs zD&lN~4qL>vA~7h3q(ohDyFv2Z0ptX^bp??@f?2%uD3Gr?v)2plhT^1d~0pE zKSk}1ja^FkDf+rV?fz&F74NGpL%pm|<@VEtk+rculi7l<_U_4QQcgdS{dE$O4dgu> zxJESjEQTl=C^ZP+N}@|gL{oO%?xoUf2BzYjdg7+ZyDj?VOJmtQW?i0le@`Xw`Y2rB z8Mpm`!l3p2)Ynvui5<$zBT|ELbWSBM!Uo&bR^NC?m94etX@Ik!U3>Pu@Fnlz@G23G zm&_?(%3V@Ar}K30^;SBkOeaO-ywtl~tWmE?tOH+l(K)fYC>pn?-nGti>K;!DmHuri zcAso=Bl)nC&MA5vStWg+zcu+ar@?%P%Yf!ynzHl~PJVvAC80wac7v(@_%tXc^4kc* zOGhXxXXB5TiEd5}mmPAgsqvkCLWn2Syeh7N2;|gYz6&uJ9XF}}@jPC-iQ;s0ja0+5 zvbJV=>NjsT=agZz?B?|`XNCoyn##%6ome6ftcG4S=_{?+)0ZtM1B&;?wOGa)Hng?Y zHNA*9#u`J%8^l+siV*_bywqMm4RQTw`C21=d5#ofBU)sr;%HxMeY-z69yHAJ;-Dx~ z1}7O~Nm`C?6QK2!pfoTJgU!?wB25VFD4vAot{XHn>O+QXkcV$+?k~hiH?LDuCpl;V zA36_`+$p%1R2ZS zv!-I_e=Ngd3~(s_{N)}5Be~Cw7gj0wXZ~>kg1*}bT7sfqgf^?tb0)3^F^+_1XP#Td zAd*0{cSuRc?jRHf`tm-DULlH;w7VqxgupM`rgx1Yn_D(g8y$V6H4SP6mFJC&?hhR_ z{Dc(GWmIrX=Y1M&o#VqLPz(!KC#=tKgQ8z04{=)Y&<@{|GC#mfz&x+Y$*|}PWaxiz z3bR|u>7DC#d%b@xz`NXp4bx}4J6QIy^47@S?9NvYO__^dso7uJjXe90S;I2J%7}s= zB=(E=X0w`J;o7+6$#1KI1{-Sp8fR_ksZ`!s6C(Hn8Tw7N=AB zZMJAjtS9h(j@B+@S}FF;t51?HzMiMOzx>Y6qkc2$xizqds@zbZQ?mp$To1FBV!u7R z@4~kn_EBcM-(KIQi~Om>-q74~*?NwQOMcd?W_dH3#5{qHtQ=-qek#flZRUgeCVf?( zZ?o_6jvFdwbOglPcip^sLuB&`KX~3O(li*3geb_)n)Nbng4DKBB9QXLON2lbM#?Q#|PDPt z*oQoFGtM<09~biXRxG<>>7rI`Hm?|%A)tf#yLkbDnT`AIYAOmEn_aBd%DzLjVFvfS zf57P+Z*mvo3a_$Svu_fn!&uSSU&Hwf2kfNkKlesnA3mkePHEuwvMcwl#oZphI8cwv(;oSX)~GfQ*4jTW`xT%0pO<^Nhg}(v+iIcM1x!I`^ix=gkL() zq1VN9GMKkFM13o3Xe#3l_rs1~RoA8(6sPx#KQ~c$I7~NTiqVP24j?@3K;$--#bW zA;u>@s(tnGd?)+$Gwa5BeR>e_#=5Fy- z?S_ci;%PF0re>7mj*&04O?Bt?3S!cKnX1=~Lb~PFoT&36k7=H(t=`JF?&$Q^Vz&?* zPFy%T)EZw!dIIwEQfNuiSAd8)suX3_mURylAHEYFDrPFQAts6p(E(vP{va*IQ{B9r zXAxITPSS7Z=Mho7J)YyLY65!+>dF6WV%5eC@!##Xy0aLN@wTPdgdHQAXY=01Mo&Yj zbJE{L;X$F1B(nmfK2$O8s@Mznw zQdyqzd-psSEFz9uzo+m$EnYR+h|j#^)wdOe%0h+gkEmjFK(G4W6lL{)<0jPYx00)O zEDz-=3k`a%6{Ax@&W;i)4dp4tUxtO~7~`kWSA2?psN7GuJw34(9noX)7(Y3}FyG>2 zzSS~wn5%8Iuh`6-JUnce|1R{qy^ycry=u1@n;-Ak7#F$l+O9H<2Tzw|B@7jtq=6o( zRum@LvSI2&b^k#nsIk;YT%o4D?(^p77UfXqwQ7Rd5Q*Q_V|YvYWM$nh+m>&zGt&mz ztKTKg!W^vyIvi>ThE}28J#>@=~#GH3A`N8iBzF*u=JloGoCIy6DMNI<(N z+hXc(*QxIhFET1NyI}TKf7Mv=z01<&Ss`P?#0M{IP>Oz(^2}iGhRxU~v%>5&;OF3@_0Ia9K#! zB*ByZH9`^m^*;;CkIh|Re|}Hk2@K^1LnAEBFNORy^2A3LC|{)^(o|3Ae2_m#Z# z{XZOdsR}?q8Sgc#KVH0at>%A#PXU*1_)qjP;L=ooL!SaJRr>d6xeVC9g#BAQyO7|e zTDbs>NG{`DljKjlxn9Qizqw!NG9drSi;r(BS4;f11#V>oL{1)nk8&P-km9#2pb-xC z^7x$$0B(5+VL>5rAwgkbA&?*lB=%1N2RxSo%*E0MW@pa#4@PYS8g2B2{*{@!FlQJ1fB*uQJ2C#; zf?n>g5YTafsXEyINn~r7in)h_D?UH9@Ckr|{Ymiu$uDcIpP>GOS4d#12@3v=4sX!E$rS?$;%)RFykOA3VQ6P_1PbQ-XUmEf!V`wK0YE_0!2ur@f2@n2 h$n4<`fIo4e`Hw9t=FaFp_7W2TiIH${D5xot{2vVmq=Nte literal 0 HcmV?d00001 diff --git a/Hardware/kicad/DiffWS2811/DiffWS2811.pro b/Hardware/kicad/DiffWS2811/DiffWS2811.pro new file mode 100644 index 0000000..67a7464 --- /dev/null +++ b/Hardware/kicad/DiffWS2811/DiffWS2811.pro @@ -0,0 +1,43 @@ +update=Tue Oct 22 14:04:36 2019 +version=1 +last_client=eeschema +[general] +version=1 +RootSch= +BoardNm= +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName= +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName= +SpiceAjustPassiveValues=0 +LabSize=50 +ERC_TestSimilarLabels=1 diff --git a/Hardware/kicad/DiffWS2811/DiffWS2811.sch b/Hardware/kicad/DiffWS2811/DiffWS2811.sch new file mode 100644 index 0000000..0569c73 --- /dev/null +++ b/Hardware/kicad/DiffWS2811/DiffWS2811.sch @@ -0,0 +1,419 @@ +EESchema Schematic File Version 4 +LIBS:DiffWS2811-cache +EELAYER 26 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L 000_my_lib:DSN-MINI-360 MOD1 +U 1 1 5C0664F1 +P 2900 3550 +F 0 "MOD1" H 2900 3500 50 0000 C CNN +F 1 "DSN-MINI-360" H 3125 3934 50 0001 C CNN +F 2 "000_my_footprints:DC-DC" H 3150 3700 50 0001 C CNN +F 3 "" H 3150 3700 50 0001 C CNN + 1 2900 3550 + 1 0 0 -1 +$EndComp +$Comp +L Interface_UART:MAX485E U1 +U 1 1 5C06691A +P 3850 2300 +F 0 "U1" H 4100 1750 50 0000 C CNN +F 1 "MAX485E" H 3650 1750 50 0000 C CNN +F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 3850 1600 50 0001 C CNN +F 3 "https://datasheets.maximintegrated.com/en/ds/MAX1487E-MAX491E.pdf" H 3850 2350 50 0001 C CNN + 1 3850 2300 + -1 0 0 -1 +$EndComp +$Comp +L Device:R_Small R1 +U 1 1 5C066BB7 +P 3150 2350 +F 0 "R1" H 3209 2350 50 0000 L CNN +F 1 "R_Small" H 3209 2305 50 0001 L CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 3150 2350 50 0001 C CNN +F 3 "~" H 3150 2350 50 0001 C CNN + 1 3150 2350 + 1 0 0 -1 +$EndComp +$Comp +L Connector_Generic:Conn_01x04 J1 +U 1 1 5C066D15 +P 2750 2400 +F 0 "J1" H 2670 1975 50 0000 C CNN +F 1 "Conn_01x04" H 3200 2100 50 0001 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical" H 2750 2400 50 0001 C CNN +F 3 "~" H 2750 2400 50 0001 C CNN + 1 2750 2400 + -1 0 0 1 +$EndComp +$Comp +L Connector_Generic:Conn_01x03 J2 +U 1 1 5C066E44 +P 4850 2200 +F 0 "J2" H 4930 2196 50 0000 L CNN +F 1 "Conn_01x03" H 4930 2151 50 0001 L CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 4850 2200 50 0001 C CNN +F 3 "~" H 4850 2200 50 0001 C CNN + 1 4850 2200 + 1 0 0 1 +$EndComp +$Comp +L Device:C_Small C1 +U 1 1 5C066F30 +P 4150 3350 +F 0 "C1" H 4242 3396 50 0000 L CNN +F 1 "C_Small" H 4242 3305 50 0001 L CNN +F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 4150 3350 50 0001 C CNN +F 3 "~" H 4150 3350 50 0001 C CNN + 1 4150 3350 + 1 0 0 -1 +$EndComp +$Comp +L Device:Jumper_NO_Small JP1 +U 1 1 5C066FFC +P 3100 3200 +F 0 "JP1" H 3100 3385 50 0000 C CNN +F 1 "Jumper" H 3100 3294 50 0000 C CNN +F 2 "Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm" H 3100 3200 50 0001 C CNN +F 3 "~" H 3100 3200 50 0001 C CNN + 1 3100 3200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0101 +U 1 1 5C0670C6 +P 3000 2550 +F 0 "#PWR0101" H 3000 2300 50 0001 C CNN +F 1 "GND" H 3005 2377 50 0001 C CNN +F 2 "" H 3000 2550 50 0001 C CNN +F 3 "" H 3000 2550 50 0001 C CNN + 1 3000 2550 + 1 0 0 -1 +$EndComp +$Comp +L power:VCC #PWR0102 +U 1 1 5C067142 +P 3850 1750 +F 0 "#PWR0102" H 3850 1600 50 0001 C CNN +F 1 "VCC" H 4000 1800 50 0000 C CNN +F 2 "" H 3850 1750 50 0001 C CNN +F 3 "" H 3850 1750 50 0001 C CNN + 1 3850 1750 + 1 0 0 -1 +$EndComp +$Comp +L power:-VSW #PWR0103 +U 1 1 5C06719C +P 3000 2150 +F 0 "#PWR0103" H 3000 2250 50 0001 C CNN +F 1 "-VSW" H 3015 2323 50 0000 C CNN +F 2 "" H 3000 2150 50 0001 C CNN +F 3 "" H 3000 2150 50 0001 C CNN + 1 3000 2150 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0104 +U 1 1 5C067280 +P 3100 3700 +F 0 "#PWR0104" H 3100 3450 50 0001 C CNN +F 1 "GND" H 3105 3527 50 0001 C CNN +F 2 "" H 3100 3700 50 0001 C CNN +F 3 "" H 3100 3700 50 0001 C CNN + 1 3100 3700 + 1 0 0 -1 +$EndComp +$Comp +L power:-VSW #PWR0106 +U 1 1 5C0672B2 +P 2750 3150 +F 0 "#PWR0106" H 2750 3250 50 0001 C CNN +F 1 "-VSW" H 2765 3323 50 0000 C CNN +F 2 "" H 2750 3150 50 0001 C CNN +F 3 "" H 2750 3150 50 0001 C CNN + 1 2750 3150 + 1 0 0 -1 +$EndComp +$Comp +L power:VCC #PWR0107 +U 1 1 5C0672DA +P 3450 3150 +F 0 "#PWR0107" H 3450 3000 50 0001 C CNN +F 1 "VCC" H 3467 3323 50 0000 C CNN +F 2 "" H 3450 3150 50 0001 C CNN +F 3 "" H 3450 3150 50 0001 C CNN + 1 3450 3150 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3850 1750 3850 1800 +Wire Wire Line + 2750 3150 2750 3200 +Wire Wire Line + 2750 3200 2900 3200 +Wire Wire Line + 3200 3200 3300 3200 +Wire Wire Line + 3450 3200 3450 3150 +Wire Wire Line + 3450 3200 3450 3300 +Connection ~ 3450 3200 +Wire Wire Line + 2800 3300 2750 3300 +Connection ~ 2750 3200 +Wire Wire Line + 2950 2200 3000 2200 +Wire Wire Line + 3000 2200 3000 2150 +Wire Wire Line + 2950 2500 3000 2500 +Wire Wire Line + 3000 2500 3000 2550 +Wire Wire Line + 2950 2400 3050 2400 +Wire Wire Line + 3050 2400 3050 2500 +Wire Wire Line + 3050 2500 3150 2500 +Wire Wire Line + 3150 2500 3150 2450 +Wire Wire Line + 3150 2250 3150 2200 +Wire Wire Line + 3150 2200 3050 2200 +Wire Wire Line + 3050 2200 3050 2300 +Wire Wire Line + 3050 2300 2950 2300 +Wire Wire Line + 3450 2200 3150 2200 +Connection ~ 3150 2200 +Wire Wire Line + 3450 2500 3150 2500 +Connection ~ 3150 2500 +$Comp +L power:VCC #PWR0108 +U 1 1 5C069637 +P 4150 3150 +F 0 "#PWR0108" H 4150 3000 50 0001 C CNN +F 1 "VCC" H 4300 3200 50 0000 C CNN +F 2 "" H 4150 3150 50 0001 C CNN +F 3 "" H 4150 3150 50 0001 C CNN + 1 4150 3150 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0109 +U 1 1 5C069650 +P 4150 3550 +F 0 "#PWR0109" H 4150 3300 50 0001 C CNN +F 1 "GND" H 4155 3377 50 0001 C CNN +F 2 "" H 4150 3550 50 0001 C CNN +F 3 "" H 4150 3550 50 0001 C CNN + 1 4150 3550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4150 3150 4150 3200 +Wire Wire Line + 4150 3450 4150 3500 +$Comp +L power:GND #PWR0110 +U 1 1 5C06A0D9 +P 3850 2950 +F 0 "#PWR0110" H 3850 2700 50 0001 C CNN +F 1 "GND" H 3855 2777 50 0001 C CNN +F 2 "" H 3850 2950 50 0001 C CNN +F 3 "" H 3850 2950 50 0001 C CNN + 1 3850 2950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3850 2900 3850 2950 +$Comp +L power:GND #PWR0111 +U 1 1 5C06A85A +P 4300 2550 +F 0 "#PWR0111" H 4300 2300 50 0001 C CNN +F 1 "GND" H 4305 2377 50 0001 C CNN +F 2 "" H 4300 2550 50 0001 C CNN +F 3 "" H 4300 2550 50 0001 C CNN + 1 4300 2550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4250 2400 4300 2400 +Wire Wire Line + 4300 2400 4300 2500 +Wire Wire Line + 4300 2500 4250 2500 +Wire Wire Line + 4300 2300 4300 2400 +Wire Wire Line + 4300 2300 4250 2300 +Connection ~ 4300 2400 +Wire Wire Line + 4300 2500 4300 2550 +Connection ~ 4300 2500 +$Comp +L power:VCC #PWR0112 +U 1 1 5C06BD25 +P 4600 2050 +F 0 "#PWR0112" H 4600 1900 50 0001 C CNN +F 1 "VCC" H 4750 2100 50 0000 C CNN +F 2 "" H 4600 2050 50 0001 C CNN +F 3 "" H 4600 2050 50 0001 C CNN + 1 4600 2050 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0113 +U 1 1 5C06CC4B +P 4600 2350 +F 0 "#PWR0113" H 4600 2100 50 0001 C CNN +F 1 "GND" H 4605 2177 50 0001 C CNN +F 2 "" H 4600 2350 50 0001 C CNN +F 3 "" H 4600 2350 50 0001 C CNN + 1 4600 2350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4650 2200 4500 2200 +Wire Wire Line + 4650 2100 4600 2100 +Wire Wire Line + 4600 2100 4600 2050 +Wire Wire Line + 4650 2300 4600 2300 +Wire Wire Line + 4600 2300 4600 2350 +$Comp +L Device:R_Small R2 +U 1 1 5C06E1D6 +P 4400 2200 +F 0 "R2" V 4296 2200 50 0000 C CNN +F 1 "R_Small" V 4295 2200 50 0001 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 4400 2200 50 0001 C CNN +F 3 "~" H 4400 2200 50 0001 C CNN + 1 4400 2200 + 0 1 1 0 +$EndComp +Wire Wire Line + 4250 2200 4300 2200 +$Comp +L power:PWR_FLAG #FLG0101 +U 1 1 5C07179E +P 2900 3150 +F 0 "#FLG0101" H 2900 3225 50 0001 C CNN +F 1 "PWR_FLAG" H 2900 3324 50 0001 C CNN +F 2 "" H 2900 3150 50 0001 C CNN +F 3 "~" H 2900 3150 50 0001 C CNN + 1 2900 3150 + 1 0 0 -1 +$EndComp +$Comp +L power:PWR_FLAG #FLG0102 +U 1 1 5C071EE6 +P 3300 3150 +F 0 "#FLG0102" H 3300 3225 50 0001 C CNN +F 1 "PWR_FLAG" H 3300 3324 50 0001 C CNN +F 2 "" H 3300 3150 50 0001 C CNN +F 3 "~" H 3300 3150 50 0001 C CNN + 1 3300 3150 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3300 3150 3300 3200 +Connection ~ 3300 3200 +Wire Wire Line + 3300 3200 3450 3200 +Wire Wire Line + 2900 3150 2900 3200 +Connection ~ 2900 3200 +Wire Wire Line + 2900 3200 3000 3200 +Wire Wire Line + 2750 3200 2750 3300 +$Comp +L power:PWR_FLAG #FLG0103 +U 1 1 5C07505B +P 3350 3600 +F 0 "#FLG0103" H 3350 3675 50 0001 C CNN +F 1 "PWR_FLAG" H 3350 3774 50 0001 C CNN +F 2 "" H 3350 3600 50 0001 C CNN +F 3 "~" H 3350 3600 50 0001 C CNN + 1 3350 3600 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3400 3300 3450 3300 +Wire Wire Line + 3100 3700 3100 3650 +Wire Wire Line + 3350 3600 3350 3700 +Wire Wire Line + 3350 3700 3100 3700 +Connection ~ 3100 3700 +$Comp +L Device:C_Small C2 +U 1 1 5C07E1E5 +P 4400 3350 +F 0 "C2" H 4492 3396 50 0000 L CNN +F 1 "C_Small" H 4492 3305 50 0001 L CNN +F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 4400 3350 50 0001 C CNN +F 3 "~" H 4400 3350 50 0001 C CNN + 1 4400 3350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4150 3200 4400 3200 +Wire Wire Line + 4400 3200 4400 3250 +Connection ~ 4150 3200 +Wire Wire Line + 4150 3200 4150 3250 +Wire Wire Line + 4400 3450 4400 3500 +Wire Wire Line + 4400 3500 4150 3500 +Connection ~ 4150 3500 +Wire Wire Line + 4150 3500 4150 3550 +$Comp +L Device:CP_Small C3 +U 1 1 5C07F5DF +P 4600 3350 +F 0 "C3" H 4688 3396 50 0000 L CNN +F 1 "CP_Small" H 4688 3305 50 0000 L CNN +F 2 "Capacitor_SMD:CP_Elec_3x5.4" H 4600 3350 50 0001 C CNN +F 3 "~" H 4600 3350 50 0001 C CNN + 1 4600 3350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4400 3200 4600 3200 +Wire Wire Line + 4600 3200 4600 3250 +Connection ~ 4400 3200 +Wire Wire Line + 4600 3450 4600 3500 +Wire Wire Line + 4600 3500 4400 3500 +Connection ~ 4400 3500 +Text Label 3300 2200 0 50 ~ 0 +D+ +Text Label 3300 2500 0 50 ~ 0 +D- +$EndSCHEMATC diff --git a/Hardware/kicad/DiffWS2811/DiffWS2811_min.kicad_pcb b/Hardware/kicad/DiffWS2811/DiffWS2811_min.kicad_pcb new file mode 100644 index 0000000..bcfedba --- /dev/null +++ b/Hardware/kicad/DiffWS2811/DiffWS2811_min.kicad_pcb @@ -0,0 +1,1256 @@ +(kicad_pcb (version 20171130) (host pcbnew 5.0.0) + + (general + (thickness 1.6) + (drawings 17) + (tracks 26) + (zones 0) + (modules 10) + (nets 7) + ) + + (page A4) + (layers + (0 F.Cu signal hide) + (31 B.Cu signal) + (32 B.Adhes user hide) + (33 F.Adhes user) + (34 B.Paste user hide) + (35 F.Paste user hide) + (36 B.SilkS user) + (37 F.SilkS user hide) + (38 B.Mask user hide) + (39 F.Mask user hide) + (40 Dwgs.User user hide) + (41 Cmts.User user hide) + (42 Eco1.User user hide) + (43 Eco2.User user hide) + (44 Edge.Cuts user) + (45 Margin user hide) + (46 B.CrtYd user hide) + (47 F.CrtYd user hide) + (48 B.Fab user hide) + (49 F.Fab user hide) + ) + + (setup + (last_trace_width 0.3) + (user_trace_width 0.3) + (user_trace_width 0.8) + (user_trace_width 1.2) + (trace_clearance 0.2) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.2) + (segment_width 0.2) + (edge_width 0.15) + (via_size 0.8) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.7 1.7) + (pad_drill 1) + (pad_to_mask_clearance 0.2) + (aux_axis_origin 0 0) + (visible_elements FFFFFF7F) + (pcbplotparams + (layerselection 0x010fc_ffffffff) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes false) + (creategerberjobfile false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "/home/wiebel/Workspace/CANNode/Hardware/kicad/DiffWS2811/gerber/")) + ) + + (net 0 "") + (net 1 "Net-(R2-Pad2)") + (net 2 "Net-(J2-Pad2)") + (net 3 GND) + (net 4 VCC) + (net 5 /D+) + (net 6 /D-) + + (net_class Default "This is the default net class." + (clearance 0.2) + (trace_width 0.25) + (via_dia 0.8) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net /D+) + (add_net /D-) + (add_net GND) + (add_net "Net-(J2-Pad2)") + (add_net "Net-(R2-Pad2)") + (add_net VCC) + ) + + (module own:LOGO_MID (layer B.Cu) (tedit 0) (tstamp 5C046680) + (at 152.146 67.31 180) + (fp_text reference "" (at 0 0 180) (layer B.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15)) (justify mirror)) + ) + (fp_text value "" (at 0 0 180) (layer B.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15)) (justify mirror)) + ) + (fp_poly (pts (xy 0.049153 2.466359) (xy 0.029946 2.462459) (xy 0.013781 2.451281) (xy 0.003256 2.434781) + (xy 0 2.415481) (xy 0.004556 2.396381) (xy 0.016168 2.38064) (xy 0.032443 2.365659) + (xy 0.065212 2.33604) (xy 0.081487 2.321181) (xy 0.097871 2.306318) (xy 0.11415 2.291459) + (xy 0.130534 2.2767) (xy 0.146809 2.261818) (xy 0.163193 2.246959) (xy 0.195746 2.21724) + (xy 0.212131 2.202481) (xy 0.228515 2.1876) (xy 0.24479 2.17274) (xy 0.261175 2.157881) + (xy 0.277453 2.143018) (xy 0.293837 2.12814) (xy 0.310112 2.113281) (xy 0.326496 2.0983) + (xy 0.342771 2.083659) (xy 0.359159 2.0688) (xy 0.375434 2.053918) (xy 0.391818 2.039059) + (xy 0.408093 2.0242) (xy 0.424481 2.00944) (xy 0.440756 1.994575) (xy 0.473525 1.964843) + (xy 0.4898 1.949978) (xy 0.506187 1.935112) (xy 0.522462 1.920246) (xy 0.538843 1.905384) + (xy 0.555121 1.890625) (xy 0.571506 1.875759) (xy 0.587784 1.860893) (xy 0.604165 1.846028) + (xy 0.62044 1.831162) (xy 0.636828 1.816296) (xy 0.653103 1.80154) (xy 0.669487 1.786675) + (xy 0.685762 1.771812) (xy 0.702146 1.756837) (xy 0.718425 1.742078) (xy 0.751193 1.712346) + (xy 0.767468 1.697484) (xy 0.783856 1.682615) (xy 0.800131 1.667753) (xy 0.816512 1.652993) + (xy 0.83279 1.638131) (xy 0.849175 1.623262) (xy 0.865453 1.608396) (xy 0.881837 1.593534) + (xy 0.898112 1.578665) (xy 0.914496 1.563912) (xy 0.930771 1.549043) (xy 0.947159 1.534181) + (xy 0.963434 1.519312) (xy 0.979815 1.504559) (xy 0.996093 1.48969) (xy 1.028862 1.459962) + (xy 1.045137 1.445093) (xy 1.061521 1.430231) (xy 1.0778 1.415362) (xy 1.094184 1.40039) + (xy 1.110462 1.385634) (xy 1.143231 1.355903) (xy 1.159506 1.341146) (xy 1.175887 1.326281) + (xy 1.192165 1.311412) (xy 1.20855 1.29655) (xy 1.219509 1.286459) (xy 1.230468 1.276475) + (xy 1.241537 1.266384) (xy 1.252493 1.276475) (xy 1.263456 1.286459) (xy 1.274521 1.29655) + (xy 1.290146 1.310762) (xy 1.305662 1.324978) (xy 1.415037 1.424481) (xy 1.430771 1.438587) + (xy 1.446396 1.452909) (xy 1.461912 1.467121) (xy 1.493162 1.49555) (xy 1.508896 1.509875) + (xy 1.524521 1.524087) (xy 1.540037 1.538303) (xy 1.555771 1.552515) (xy 1.571396 1.566731) + (xy 1.58409 1.57834) (xy 1.596896 1.589953) (xy 1.60959 1.601562) (xy 1.622396 1.613281) + (xy 1.592231 1.629775) (xy 1.577037 1.638021) (xy 1.561959 1.646265) (xy 1.523328 1.667315) + (xy 1.504012 1.677953) (xy 1.48459 1.688478) (xy 1.465278 1.699003) (xy 1.42665 1.720162) + (xy 1.407228 1.730793) (xy 1.387912 1.741318) (xy 1.368596 1.751953) (xy 1.349284 1.762478) + (xy 1.329862 1.773003) (xy 1.310546 1.783637) (xy 1.291234 1.794162) (xy 1.271918 1.804796) + (xy 1.252606 1.815321) (xy 1.233181 1.825846) (xy 1.213865 1.836481) (xy 1.194553 1.847006) + (xy 1.175237 1.857531) (xy 1.136612 1.878687) (xy 1.117187 1.889321) (xy 1.097871 1.899846) + (xy 1.078559 1.910481) (xy 1.059137 1.921009) (xy 1.039821 1.931534) (xy 1.020509 1.942059) + (xy 1.001193 1.95269) (xy 0.981881 1.963325) (xy 0.962456 1.97385) (xy 0.94314 1.984375) + (xy 0.923828 1.995009) (xy 0.904512 2.00554) (xy 0.8852 2.016159) (xy 0.865778 2.0267) + (xy 0.846462 2.037218) (xy 0.827146 2.047859) (xy 0.807834 2.058381) (xy 0.788412 2.069018) + (xy 0.749784 2.090059) (xy 0.730468 2.100581) (xy 0.711046 2.111218) (xy 0.691731 2.121859) + (xy 0.672415 2.132381) (xy 0.652993 2.143018) (xy 0.633681 2.15354) (xy 0.614365 2.164181) + (xy 0.595053 2.1747) (xy 0.575631 2.185118) (xy 0.556312 2.195859) (xy 0.537 2.206381) + (xy 0.517578 2.217018) (xy 0.498262 2.22754) (xy 0.47895 2.238181) (xy 0.459637 2.2487) + (xy 0.440212 2.259218) (xy 0.420896 2.269859) (xy 0.401584 2.280381) (xy 0.382268 2.291018) + (xy 0.362846 2.30154) (xy 0.343534 2.312059) (xy 0.324218 2.3227) (xy 0.304906 2.333218) + (xy 0.28559 2.343859) (xy 0.266168 2.354381) (xy 0.246853 2.365018) (xy 0.227431 2.37554) + (xy 0.208115 2.386181) (xy 0.169487 2.407218) (xy 0.150065 2.417759) (xy 0.13075 2.428381) + (xy 0.111437 2.439018) (xy 0.092121 2.44954) (xy 0.0727 2.460059) (xy 0.061306 2.46474)) (layer B.SilkS) (width 0)) + (fp_poly (pts (xy 1.772787 1.683918) (xy 1.756512 1.669162) (xy 1.740125 1.654187) (xy 1.72385 1.639321) + (xy 1.707465 1.62435) (xy 1.691187 1.609375) (xy 1.674806 1.594509) (xy 1.658418 1.579537) + (xy 1.642143 1.564562) (xy 1.625759 1.549696) (xy 1.609375 1.534612) (xy 1.5931 1.519746) + (xy 1.576712 1.504884) (xy 1.560331 1.489909) (xy 1.544053 1.474937) (xy 1.527668 1.459962) + (xy 1.511393 1.445093) (xy 1.495009 1.430121) (xy 1.478625 1.415256) (xy 1.462346 1.400284) + (xy 1.429578 1.370334) (xy 1.413303 1.355468) (xy 1.380534 1.325521) (xy 1.364259 1.310656) + (xy 1.347871 1.295681) (xy 1.331596 1.280709) (xy 1.315212 1.26584) (xy 1.298828 1.250868) + (xy 1.282553 1.235893) (xy 1.266165 1.221028) (xy 1.249784 1.205946) (xy 1.233509 1.191187) + (xy 1.217121 1.176215) (xy 1.200846 1.16124) (xy 1.184462 1.146375) (xy 1.168078 1.131403) + (xy 1.1518 1.116428) (xy 1.135415 1.101562) (xy 1.11914 1.086587) (xy 1.102756 1.071721) + (xy 1.086481 1.05664) (xy 1.070093 1.041775) (xy 1.053712 1.026912) (xy 1.037437 1.011937) + (xy 1.02105 0.996962) (xy 1.004775 0.982096) (xy 0.972006 0.952146) (xy 0.955731 0.937284) + (xy 0.939343 0.922309) (xy 0.923068 0.907337) (xy 0.906684 0.892468) (xy 0.8903 0.877493) + (xy 0.874021 0.862521) (xy 0.857637 0.847656) (xy 0.841256 0.832684) (xy 0.824978 0.817709) + (xy 0.808593 0.80284) (xy 0.792315 0.787868) (xy 0.775934 0.772893) (xy 0.75955 0.758031) + (xy 0.743271 0.742946) (xy 0.726887 0.728081) (xy 0.710612 0.713215) (xy 0.67784 0.683268) + (xy 0.661565 0.668403) (xy 0.628796 0.638456) (xy 0.612521 0.623587) (xy 0.579753 0.59364) + (xy 0.563478 0.578775) (xy 0.530709 0.548828) (xy 0.514431 0.533962) (xy 0.498046 0.518987) + (xy 0.481771 0.504012) (xy 0.465387 0.48915) (xy 0.449003 0.474175) (xy 0.432725 0.459203) + (xy 0.41634 0.444228) (xy 0.400062 0.429362) (xy 0.383681 0.414281) (xy 0.367296 0.399521) + (xy 0.351018 0.38455) (xy 0.334637 0.369575) (xy 0.31825 0.354709) (xy 0.301975 0.339737) + (xy 0.28559 0.324762) (xy 0.269206 0.309896) (xy 0.252931 0.294921) (xy 0.220159 0.264975) + (xy 0.203884 0.250109) (xy 0.1875 0.235134) (xy 0.171225 0.220159) (xy 0.154837 0.205296) + (xy 0.138456 0.190321) (xy 0.122178 0.175456) (xy 0.105793 0.160481) (xy 0.089518 0.145509) + (xy 0.073134 0.13064) (xy 0.056859 0.115668) (xy 0.040471 0.100587) (xy 0.024087 0.085828) + (xy 0.013237 0.071615) (xy 0.008246 0.054471) (xy 0.00955 0.036675) (xy 0.017143 0.020509) + (xy 0.030056 0.008031) (xy 0.04644 0.001084) (xy 0.064237 0.000543) (xy 0.081056 0.006293) + (xy 0.100478 0.016928) (xy 0.1199 0.027668) (xy 0.139321 0.038303) (xy 0.158853 0.049043) + (xy 0.1977 0.070312) (xy 0.217121 0.080946) (xy 0.255968 0.102321) (xy 0.27539 0.113062) + (xy 0.294812 0.123696) (xy 0.314237 0.13444) (xy 0.353081 0.155709) (xy 0.372506 0.16645) + (xy 0.391928 0.176975) (xy 0.411459 0.187715) (xy 0.430881 0.198459) (xy 0.450303 0.20909) + (xy 0.469728 0.219837) (xy 0.48915 0.230468) (xy 0.508571 0.241209) (xy 0.547415 0.262478) + (xy 0.56684 0.273218) (xy 0.586371 0.283856) (xy 0.605687 0.294487) (xy 0.625109 0.305231) + (xy 0.644637 0.315862) (xy 0.664062 0.326496) (xy 0.683487 0.337237) (xy 0.722331 0.358509) + (xy 0.741753 0.36914) (xy 0.761175 0.379884) (xy 0.7806 0.390625) (xy 0.838865 0.422525) + (xy 0.858287 0.433268) (xy 0.877712 0.443903) (xy 0.897137 0.454643) (xy 0.935981 0.475912) + (xy 0.955403 0.486653) (xy 0.99425 0.507921) (xy 1.013671 0.518662) (xy 1.033203 0.529296) + (xy 1.052625 0.540037) (xy 1.091471 0.561306) (xy 1.110893 0.57205) (xy 1.149737 0.593315) + (xy 1.169162 0.604059) (xy 1.188587 0.61469) (xy 1.208009 0.625434) (xy 1.246853 0.646703) + (xy 1.266275 0.657337) (xy 1.285809 0.668078) (xy 1.305121 0.678712) (xy 1.324543 0.689453) + (xy 1.344075 0.700087) (xy 1.363496 0.710828) (xy 1.402343 0.732096) (xy 1.421765 0.742837) + (xy 1.460612 0.764106) (xy 1.480037 0.774846) (xy 1.518881 0.796115) (xy 1.538303 0.806859) + (xy 1.557725 0.81749) (xy 1.577146 0.828234) (xy 1.596571 0.838865) (xy 1.616103 0.8495) + (xy 1.635525 0.860243) (xy 1.654946 0.870878) (xy 1.674368 0.881618) (xy 1.713215 0.902887) + (xy 1.732637 0.913628) (xy 1.752168 0.924262) (xy 1.77159 0.935006) (xy 1.810437 0.956271) + (xy 1.829862 0.967012) (xy 1.849284 0.977646) (xy 1.868706 0.988387) (xy 1.907553 1.009659) + (xy 1.926975 1.0204) (xy 1.946396 1.031034) (xy 1.965928 1.041559) (xy 1.985353 1.052409) + (xy 2.004781 1.06304) (xy 2.0242 1.073787) (xy 2.06304 1.095053) (xy 2.082459 1.105793) + (xy 2.101881 1.116428) (xy 2.121318 1.127168) (xy 2.13434 1.116537) (xy 2.148318 1.107531) + (xy 2.1633 1.100153) (xy 2.17904 1.094509) (xy 2.17904 0.442709) (xy 2.182618 0.424043) + (xy 2.192918 0.408093) (xy 2.20854 0.397243) (xy 2.226881 0.393118) (xy 2.24554 0.396265) + (xy 2.261618 0.40614) (xy 2.277881 0.421115) (xy 2.294159 0.436087) (xy 2.31044 0.450956) + (xy 2.326818 0.465818) (xy 2.359381 0.49555) (xy 2.37564 0.510525) (xy 2.440759 0.569987) + (xy 2.45704 0.584962) (xy 2.489581 0.61469) (xy 2.505959 0.629559) (xy 2.538518 0.659287) + (xy 2.5548 0.674262) (xy 2.6199 0.733725) (xy 2.636181 0.748696) (xy 2.652459 0.763562) + (xy 2.66884 0.778428) (xy 2.685118 0.793293) (xy 2.6709 0.807184) (xy 2.656681 0.820962) + (xy 2.642581 0.834853) (xy 2.628359 0.84874) (xy 2.614259 0.862521) (xy 2.566081 0.818578) + (xy 2.55014 0.803928) (xy 2.501959 0.759984) (xy 2.486 0.745334) (xy 2.453881 0.716037) + (xy 2.43794 0.701281) (xy 2.3737 0.642793) (xy 2.35774 0.628146) (xy 2.32564 0.59885) + (xy 2.309681 0.584203) (xy 2.277559 0.554906) (xy 2.277559 1.100043) (xy 2.2971 1.110568) + (xy 2.314559 1.124134) (xy 2.330081 1.139975) (xy 2.28744 1.18164) (xy 2.273118 1.195531) + (xy 2.2589 1.209418) (xy 2.2487 1.19759) (xy 2.235559 1.189343) (xy 2.220259 1.186521) + (xy 2.201381 1.190106) (xy 2.185218 1.200737) (xy 2.174581 1.216906) (xy 2.171 1.235787) + (xy 2.173718 1.250759) (xy 2.18154 1.263781) (xy 2.192918 1.273871) (xy 2.1786 1.287868) + (xy 2.150181 1.315646) (xy 2.135859 1.329537) (xy 2.12164 1.343534) (xy 2.10764 1.328884) + (xy 2.0956 1.31239) (xy 2.085818 1.294812) (xy 2.078559 1.275825) (xy 2.074218 1.256078) + (xy 2.0727 1.235787) (xy 2.07314 1.2245) (xy 2.07444 1.213215) (xy 2.05524 1.20269) + (xy 1.997287 1.170787) (xy 1.977971 1.160262) (xy 1.939343 1.138996) (xy 1.919921 1.128362) + (xy 1.900715 1.117731) (xy 1.881293 1.107206) (xy 1.82335 1.075303) (xy 1.804037 1.064778) + (xy 1.784831 1.054143) (xy 1.746203 1.032878) (xy 1.726887 1.022353) (xy 1.688259 1.001087) + (xy 1.668943 0.990562) (xy 1.611003 0.958659) (xy 1.591687 0.948134) (xy 1.533743 0.916234) + (xy 1.514431 0.905709) (xy 1.456487 0.873806) (xy 1.437175 0.863281) (xy 1.359915 0.820746) + (xy 1.340603 0.810112) (xy 1.301975 0.788953) (xy 1.282662 0.778428) (xy 1.244034 0.757162) + (xy 1.224828 0.746637) (xy 1.186196 0.725368) (xy 1.166884 0.714843) (xy 1.10894 0.68294) + (xy 1.089628 0.672415) (xy 1.070421 0.661784) (xy 1.051106 0.65115) (xy 1.03179 0.640625) + (xy 0.97385 0.608725) (xy 0.954537 0.5982) (xy 0.89659 0.566296) (xy 0.877278 0.555771) + (xy 0.819337 0.523871) (xy 0.800021 0.513346) (xy 0.742078 0.481443) (xy 0.722762 0.470918) + (xy 0.684137 0.449653) (xy 0.664821 0.439128) (xy 0.645615 0.428493) (xy 0.626303 0.417753) + (xy 0.606987 0.407337) (xy 0.549043 0.375434) (xy 0.529731 0.364909) (xy 0.491103 0.34364) + (xy 0.471896 0.333115) (xy 0.433268 0.31185) (xy 0.413953 0.301325) (xy 0.394637 0.290687) + (xy 0.492296 0.379884) (xy 0.508571 0.394856) (xy 0.541125 0.424587) (xy 0.557509 0.439453) + (xy 0.573787 0.454318) (xy 0.590062 0.46929) (xy 0.67144 0.543618) (xy 0.687715 0.558593) + (xy 0.752821 0.617946) (xy 0.769206 0.632921) (xy 0.785481 0.647787) (xy 0.801759 0.662762) + (xy 0.899412 0.751953) (xy 0.915687 0.766928) (xy 0.931965 0.78179) (xy 0.94835 0.796659) + (xy 0.964628 0.811521) (xy 0.980793 0.826281) (xy 0.997178 0.841256) (xy 1.046009 0.88585) + (xy 1.062284 0.900825) (xy 1.176215 1.004884) (xy 1.19249 1.019856) (xy 1.208765 1.034612) + (xy 1.225043 1.049587) (xy 1.257593 1.079318) (xy 1.273981 1.094184) (xy 1.306534 1.123912) + (xy 1.322809 1.138781) (xy 1.453015 1.257812) (xy 1.46929 1.272787) (xy 1.583225 1.376843) + (xy 1.5995 1.391818) (xy 1.615778 1.406684) (xy 1.632162 1.42155) (xy 1.762368 1.540471) + (xy 1.778646 1.555446) (xy 1.84375 1.614909) (xy 1.829537 1.628687) (xy 1.815321 1.642578) + (xy 1.801215 1.656359) (xy 1.787 1.670246)) (layer B.SilkS) (width 0)) + (fp_poly (pts (xy 2.122059 1.070531) (xy 2.049159 1.0306) (xy 2.030381 1.02029) (xy 2.0115 1.01009) + (xy 1.992731 0.999784) (xy 1.973959 0.989475) (xy 1.955187 0.979275) (xy 1.936306 0.969075) + (xy 1.917537 0.958765) (xy 1.898762 0.948565) (xy 1.87999 0.938259) (xy 1.861112 0.928062) + (xy 1.842337 0.917753) (xy 1.823565 0.907553) (xy 1.804796 0.897243) (xy 1.786025 0.887043) + (xy 1.767143 0.876737) (xy 1.752062 0.868487) (xy 1.736762 0.860243) (xy 1.706381 0.84364) + (xy 1.71929 0.832137) (xy 1.732096 0.820531) (xy 1.745009 0.808918) (xy 1.757812 0.797309) + (xy 1.773437 0.782987) (xy 1.789171 0.768771) (xy 1.804796 0.75445) (xy 1.820421 0.740234) + (xy 1.836156 0.726018) (xy 1.851781 0.711696) (xy 1.867406 0.697484) (xy 1.883137 0.683268) + (xy 1.898762 0.668943) (xy 1.914387 0.654731) (xy 1.930121 0.640515) (xy 1.945746 0.626087) + (xy 1.961481 0.611981) (xy 1.977106 0.597656) (xy 1.992731 0.58344) (xy 2.008459 0.569118) + (xy 2.039718 0.540687) (xy 2.0535 0.528103) (xy 2.067159 0.515625) (xy 2.08094 0.503146) + (xy 2.108281 0.478187) (xy 2.122059 0.465712)) (layer B.SilkS) (width 0)) + (fp_poly (pts (xy 1.772787 1.683918) (xy 1.787 1.670246) (xy 1.801215 1.656359) (xy 1.815321 1.642578) + (xy 1.829537 1.628687) (xy 1.84375 1.614909) (xy 1.859915 1.629559) (xy 1.876193 1.644531) + (xy 1.892362 1.659287) (xy 1.908528 1.674153) (xy 1.924806 1.689018) (xy 1.940971 1.703775) + (xy 1.957246 1.71864) (xy 1.973415 1.733396) (xy 1.989584 1.748262) (xy 2.005859 1.763021) + (xy 2.022018 1.777887) (xy 2.0382 1.792643) (xy 2.054459 1.807509) (xy 2.07064 1.822265) + (xy 2.0868 1.837131) (xy 2.103081 1.851781) (xy 2.11924 1.866753) (xy 2.135418 1.881512) + (xy 2.1517 1.896375) (xy 2.167859 1.911134) (xy 2.167859 1.373696) (xy 2.15114 1.365668) + (xy 2.135859 1.355253) (xy 2.12164 1.343534) (xy 2.135859 1.329537) (xy 2.150181 1.315646) + (xy 2.1786 1.287868) (xy 2.192918 1.273871) (xy 2.20574 1.28179) (xy 2.220259 1.285156) + (xy 2.239259 1.281465) (xy 2.255318 1.270725) (xy 2.265959 1.254775) (xy 2.26964 1.235787) + (xy 2.266281 1.221896) (xy 2.2589 1.209418) (xy 2.273118 1.195531) (xy 2.28744 1.18164) + (xy 2.330081 1.139975) (xy 2.343 1.156575) (xy 2.353518 1.174696) (xy 2.36144 1.194337) + (xy 2.366218 1.214843) (xy 2.36784 1.235787) (xy 2.367181 1.250759) (xy 2.3865 1.261393) + (xy 2.405918 1.271918) (xy 2.42524 1.282662) (xy 2.444659 1.293293) (xy 2.483281 1.314562) + (xy 2.52214 1.335828) (xy 2.560759 1.357096) (xy 2.580181 1.367731) (xy 2.5995 1.378362) + (xy 2.618918 1.388996) (xy 2.657559 1.410262) (xy 2.676981 1.420896) (xy 2.696281 1.431534) + (xy 2.715718 1.442059) (xy 2.75434 1.463434) (xy 2.773759 1.474065) (xy 2.812381 1.495334) + (xy 2.8318 1.505965) (xy 2.85114 1.516603) (xy 2.870559 1.527237) (xy 2.889859 1.537868) + (xy 2.909281 1.548503) (xy 2.928618 1.559137) (xy 2.948018 1.569768) (xy 2.96734 1.580403) + (xy 2.986759 1.591037) (xy 3.025381 1.612196) (xy 3.0448 1.622937) (xy 3.06414 1.633571) + (xy 3.083559 1.644206) (xy 3.122159 1.665471) (xy 3.141618 1.676106) (xy 3.160918 1.68674) + (xy 3.18034 1.697375) (xy 3.218981 1.71864) (xy 3.238381 1.729275) (xy 3.277018 1.75054) + (xy 3.29644 1.761175) (xy 3.335081 1.782337) (xy 3.3545 1.792968) (xy 3.41244 1.824868) + (xy 3.431859 1.835503) (xy 3.451159 1.846137) (xy 3.470581 1.856771) (xy 3.489918 1.867406) + (xy 3.50934 1.878037) (xy 3.547981 1.899196) (xy 3.5868 1.920571) (xy 3.62544 1.94184) + (xy 3.644859 1.952475) (xy 3.683481 1.97374) (xy 3.702918 1.984375) (xy 3.722218 1.995009) + (xy 3.74164 2.00564) (xy 3.799581 2.03754) (xy 3.819 2.048181) (xy 3.85764 2.06934) + (xy 3.877059 2.080081) (xy 3.9157 2.10134) (xy 3.935118 2.111981) (xy 3.97374 2.13324) + (xy 3.993159 2.143881) (xy 4.012481 2.154518) (xy 4.031881 2.16514) (xy 4.051218 2.175781) + (xy 4.03494 2.1608) (xy 4.018559 2.14594) (xy 4.002281 2.130959) (xy 3.985881 2.1161) + (xy 3.969618 2.101118) (xy 3.953218 2.086159) (xy 3.936859 2.071281) (xy 3.920581 2.056318) + (xy 3.9042 2.041459) (xy 3.887918 2.026481) (xy 3.871518 2.0115) (xy 3.855259 1.996637) + (xy 3.838859 1.981662) (xy 3.822581 1.966796) (xy 3.8062 1.951821) (xy 3.78994 1.936959) + (xy 3.757159 1.907009) (xy 3.740881 1.892143) (xy 3.7245 1.877168) (xy 3.708218 1.862196) + (xy 3.69184 1.847331) (xy 3.675559 1.832465) (xy 3.659281 1.81749) (xy 3.642918 1.802625) + (xy 3.626518 1.787653) (xy 3.61024 1.772787) (xy 3.593981 1.757812) (xy 3.577581 1.742946) + (xy 3.5613 1.727971) (xy 3.544918 1.713109) (xy 3.52864 1.698134) (xy 3.512259 1.683268) + (xy 3.495981 1.668293) (xy 3.479618 1.653428) (xy 3.46334 1.638456) (xy 3.44694 1.623587) + (xy 3.430659 1.608615) (xy 3.414281 1.59364) (xy 3.398 1.578775) (xy 3.381718 1.563912) + (xy 3.36534 1.548937) (xy 3.348981 1.534071) (xy 3.3327 1.519096) (xy 3.3163 1.504121) + (xy 3.300018 1.489259) (xy 3.28364 1.474284) (xy 3.267359 1.459418) (xy 3.250981 1.444337) + (xy 3.2347 1.429578) (xy 3.2183 1.414606) (xy 3.202018 1.399737) (xy 3.185659 1.384765) + (xy 3.169381 1.3699) (xy 3.153 1.354925) (xy 3.136718 1.339953) (xy 3.12034 1.325087) + (xy 3.104059 1.310112) (xy 3.087659 1.295246) (xy 3.071381 1.280271) (xy 3.055 1.265409) + (xy 3.03874 1.250434) (xy 3.022481 1.235568) (xy 3.006081 1.220593) (xy 2.9898 1.205731) + (xy 2.973418 1.190756) (xy 2.95714 1.175887) (xy 2.94074 1.160809) (xy 2.924481 1.14605) + (xy 2.908081 1.131187) (xy 2.8918 1.116212) (xy 2.875559 1.101343) (xy 2.859159 1.086371) + (xy 2.842881 1.071506) (xy 2.8265 1.056534) (xy 2.810218 1.041559) (xy 2.79384 1.02669) + (xy 2.777559 1.011828) (xy 2.761281 0.996853) (xy 2.7449 0.981987) (xy 2.728618 0.967012) + (xy 2.71224 0.952146) (xy 2.695959 0.937175) (xy 2.679581 0.922309) (xy 2.6632 0.907337) + (xy 2.646918 0.892253) (xy 2.63054 0.877493) (xy 2.614259 0.862521) (xy 2.628359 0.84874) + (xy 2.642581 0.834853) (xy 2.656681 0.820962) (xy 2.6709 0.807184) (xy 2.685118 0.793293) + (xy 2.783418 0.883031) (xy 2.7998 0.898218) (xy 2.8162 0.913087) (xy 2.832581 0.928062) + (xy 2.86534 0.958009) (xy 2.881718 0.97309) (xy 2.898118 0.987956) (xy 2.9145 1.002931) + (xy 2.930781 1.017903) (xy 3.192918 1.257487) (xy 3.2093 1.272568) (xy 3.2258 1.287543) + (xy 3.27494 1.332465) (xy 3.291218 1.347437) (xy 3.307618 1.362196) (xy 3.602518 1.631837) + (xy 3.6188 1.646812) (xy 3.6353 1.661784) (xy 3.668081 1.691731) (xy 3.684359 1.706706) + (xy 3.70084 1.721681) (xy 3.717218 1.736653) (xy 3.7335 1.751628) (xy 3.766281 1.781575) + (xy 3.782781 1.79655) (xy 3.799159 1.811521) (xy 3.815418 1.826496) (xy 3.831918 1.841362) + (xy 3.8482 1.856443) (xy 3.8647 1.871418) (xy 3.881081 1.886393) (xy 3.897359 1.901365) + (xy 3.913759 1.91634) (xy 3.930218 1.931312) (xy 3.995781 1.991212) (xy 4.012059 2.006181) + (xy 4.028418 2.021159) (xy 4.044918 2.03614) (xy 4.0612 2.0511) (xy 4.356118 2.32054) + (xy 4.3725 2.335718) (xy 4.421659 2.38064) (xy 4.433481 2.396381) (xy 4.43814 2.415359) + (xy 4.434881 2.434781) (xy 4.424159 2.451281) (xy 4.407781 2.462559) (xy 4.38834 2.466359) + (xy 4.3762 2.46474) (xy 4.3648 2.460059) (xy 4.32594 2.4388) (xy 4.306518 2.428059) + (xy 4.287 2.417418) (xy 4.267581 2.4068) (xy 4.248159 2.39604) (xy 4.228718 2.3853) + (xy 4.2093 2.374681) (xy 4.170481 2.3534) (xy 4.151059 2.342659) (xy 4.1122 2.3214) + (xy 4.092781 2.310659) (xy 4.073359 2.300018) (xy 4.053918 2.289281) (xy 4.0345 2.27864) + (xy 4.015081 2.2679) (xy 3.995559 2.257259) (xy 3.97614 2.24664) (xy 3.9567 2.2359) + (xy 3.937281 2.225259) (xy 3.917859 2.214518) (xy 3.879018 2.193259) (xy 3.859581 2.1825) + (xy 3.82074 2.16124) (xy 3.80134 2.1504) (xy 3.762481 2.12924) (xy 3.743059 2.118481) + (xy 3.704218 2.097118) (xy 3.684781 2.086481) (xy 3.64594 2.065218) (xy 3.626518 2.054459) + (xy 3.607081 2.04384) (xy 3.587659 2.0331) (xy 3.54884 2.011818) (xy 3.529418 2.001081) + (xy 3.490559 1.979815) (xy 3.47114 1.969075) (xy 3.4323 1.947809) (xy 3.412859 1.937065) + (xy 3.39344 1.926434) (xy 3.374018 1.915687) (xy 3.335159 1.894421) (xy 3.31574 1.883681) + (xy 3.296218 1.873046) (xy 3.276918 1.862412) (xy 3.257381 1.851671) (xy 3.23794 1.841037) + (xy 3.218518 1.830293) (xy 3.1797 1.808918) (xy 3.160281 1.798287) (xy 3.121418 1.777018) + (xy 3.102 1.766275) (xy 3.082581 1.75564) (xy 3.06314 1.7449) (xy 3.0243 1.723634) + (xy 3.004881 1.71289) (xy 2.966018 1.691621) (xy 2.946618 1.680881) (xy 2.907781 1.659612) + (xy 2.88834 1.648871) (xy 2.868918 1.638237) (xy 2.8495 1.627493) (xy 2.830081 1.616862) + (xy 2.810559 1.606228) (xy 2.791118 1.595487) (xy 2.7717 1.584853) (xy 2.752281 1.574003) + (xy 2.71344 1.55284) (xy 2.694018 1.5421) (xy 2.674581 1.531465) (xy 2.655159 1.520725) + (xy 2.63574 1.51009) (xy 2.616218 1.49935) (xy 2.577359 1.478081) (xy 2.55794 1.467337) + (xy 2.5191 1.446071) (xy 2.499781 1.435331) (xy 2.480259 1.424696) (xy 2.460818 1.414062) + (xy 2.4414 1.403318) (xy 2.421981 1.392687) (xy 2.402559 1.381837) (xy 2.363718 1.360678) + (xy 2.3443 1.349937) (xy 2.324859 1.3393) (xy 2.311959 1.350912) (xy 2.297859 1.360893) + (xy 2.282559 1.36914) (xy 2.2665 1.375759) (xy 2.2665 2.023318) (xy 2.263018 2.041881) + (xy 2.252818 2.057718) (xy 2.2373 2.068459) (xy 2.218859 2.0727) (xy 2.200081 2.069659) + (xy 2.183818 2.059781) (xy 2.168081 2.045359) (xy 2.15224 2.030918) (xy 2.1364 2.016381) + (xy 2.120659 2.001959) (xy 2.088981 1.97309) (xy 2.07324 1.958659) (xy 2.0574 1.944228) + (xy 2.041559 1.929687) (xy 2.025718 1.915256) (xy 2.009981 1.900825) (xy 1.9783 1.871962) + (xy 1.962562 1.857421) (xy 1.930881 1.828559) (xy 1.915146 1.814128) (xy 1.883462 1.785262) + (xy 1.867621 1.770725) (xy 1.851887 1.756293) (xy 1.836046 1.741862) (xy 1.820312 1.727431) + (xy 1.804468 1.71289) (xy 1.788628 1.698459)) (layer B.SilkS) (width 0)) + (fp_poly (pts (xy 2.318581 1.995225) (xy 2.318581 1.4018) (xy 2.3915 1.441731) (xy 2.428159 1.461696) + (xy 2.4464 1.471681) (xy 2.501418 1.501628) (xy 2.51964 1.511503) (xy 2.537981 1.521484) + (xy 2.574659 1.54145) (xy 2.592881 1.551434) (xy 2.629559 1.571396) (xy 2.647781 1.581381) + (xy 2.666118 1.591362) (xy 2.726881 1.62435) (xy 2.714081 1.635959) (xy 2.701181 1.647568) + (xy 2.688359 1.659287) (xy 2.675559 1.670896) (xy 2.64324 1.700193) (xy 2.627059 1.714953) + (xy 2.6109 1.7296) (xy 2.594718 1.744359) (xy 2.5624 1.773656) (xy 2.54634 1.788303) + (xy 2.530159 1.803062) (xy 2.49784 1.832356) (xy 2.481659 1.847112) (xy 2.4655 1.861762) + (xy 2.449318 1.876518) (xy 2.417 1.905815) (xy 2.400818 1.920571) (xy 2.38444 1.935437) + (xy 2.36794 1.950412) (xy 2.351459 1.965168) (xy 2.335059 1.980253)) (layer B.SilkS) (width 0)) + (fp_poly (pts (xy 3.193259 1.200087) (xy 3.1823 1.189993) (xy 3.171218 1.179906) (xy 3.160281 1.169812) + (xy 3.113381 1.127168) (xy 3.097659 1.112956) (xy 3.035159 1.056096) (xy 3.019418 1.041884) + (xy 2.9413 0.970812) (xy 2.925559 0.956596) (xy 2.863059 0.899737) (xy 2.85014 0.888131) + (xy 2.837359 0.876412) (xy 2.82444 0.8648) (xy 2.81164 0.853187) (xy 2.872381 0.820203) + (xy 2.891718 0.809568) (xy 2.91114 0.799043) (xy 2.93044 0.788518) (xy 2.949759 0.777887) + (xy 2.969081 0.767253) (xy 2.9885 0.756728) (xy 3.0078 0.746203) (xy 3.02714 0.735568) + (xy 3.046559 0.725043) (xy 3.065859 0.714518) (xy 3.085159 0.703884) (xy 3.1045 0.693359) + (xy 3.123918 0.682834) (xy 3.143218 0.6722) (xy 3.162559 0.661675) (xy 3.181859 0.65104) + (xy 3.201159 0.640515) (xy 3.220581 0.62999) (xy 3.239918 0.619359) (xy 3.278518 0.598309) + (xy 3.297981 0.587675) (xy 3.317281 0.577146) (xy 3.336581 0.566512) (xy 3.355918 0.555987) + (xy 3.37534 0.545356) (xy 3.41394 0.524196) (xy 3.433381 0.513671) (xy 3.4527 0.503146) + (xy 3.472 0.492512) (xy 3.51064 0.471462) (xy 3.530059 0.460828) (xy 3.549359 0.450303) + (xy 3.5687 0.439668) (xy 3.588 0.429143) (xy 3.607418 0.418618) (xy 3.626759 0.407987) + (xy 3.646159 0.397462) (xy 3.665481 0.386828) (xy 3.704118 0.365668) (xy 3.723518 0.355143) + (xy 3.74284 0.344618) (xy 3.762159 0.333984) (xy 3.781581 0.323459) (xy 3.800881 0.312825) + (xy 3.8202 0.3023) (xy 3.83964 0.291559) (xy 3.878259 0.270615) (xy 3.897659 0.259981) + (xy 3.917 0.249459) (xy 3.9363 0.238825) (xy 3.955718 0.228296) (xy 3.975059 0.217662) + (xy 3.994359 0.20714) (xy 4.013781 0.196615) (xy 4.033081 0.185981) (xy 4.052418 0.175456) + (xy 4.071718 0.164821) (xy 4.09114 0.154296) (xy 4.110481 0.143771) (xy 4.129781 0.133028) + (xy 4.149081 0.122612) (xy 4.1685 0.111978) (xy 4.18784 0.101453) (xy 4.20724 0.090818) + (xy 4.226559 0.080293) (xy 4.245881 0.069662) (xy 4.2653 0.059137) (xy 4.284618 0.048503) + (xy 4.303918 0.037978) (xy 4.32324 0.027453) (xy 4.342659 0.016818) (xy 4.361981 0.006293) + (xy 4.3788 0.000978) (xy 4.396381 0.001843) (xy 4.412559 0.008896) (xy 4.42514 0.021265) + (xy 4.432618 0.037218) (xy 4.434018 0.054796) (xy 4.42914 0.071721) (xy 4.418618 0.085828) + (xy 4.385859 0.115559) (xy 4.369581 0.130315) (xy 4.3532 0.145181) (xy 4.336918 0.160046) + (xy 4.320518 0.174912) (xy 4.304259 0.189778) (xy 4.287859 0.204643) (xy 4.271581 0.219403) + (xy 4.255218 0.234265) (xy 4.23894 0.249131) (xy 4.222559 0.263996) (xy 4.206281 0.278862) + (xy 4.189881 0.293728) (xy 4.173618 0.308593) (xy 4.157218 0.323459) (xy 4.14094 0.338215) + (xy 4.124559 0.353081) (xy 4.108281 0.367946) (xy 4.091918 0.382812) (xy 4.07564 0.397678) + (xy 4.05924 0.412437) (xy 4.042981 0.4273) (xy 4.026581 0.442059) (xy 4.0103 0.457031) + (xy 3.977518 0.486762) (xy 3.96124 0.501628) (xy 3.944881 0.516493) (xy 3.928618 0.53114) + (xy 3.912218 0.546115) (xy 3.89594 0.560981) (xy 3.879559 0.575846) (xy 3.863281 0.590712) + (xy 3.846881 0.605468) (xy 3.830618 0.620334) (xy 3.814259 0.6352) (xy 3.797981 0.650062) + (xy 3.781581 0.664931) (xy 3.7653 0.679796) (xy 3.748918 0.694662) (xy 3.73264 0.709418) + (xy 3.699859 0.73915) (xy 3.683581 0.754012) (xy 3.667218 0.768881) (xy 3.65094 0.783743) + (xy 3.634559 0.798503) (xy 3.618281 0.813478) (xy 3.601881 0.828234) (xy 3.585618 0.8431) + (xy 3.569218 0.857962) (xy 3.55294 0.872831) (xy 3.5202 0.902562) (xy 3.503918 0.917315) + (xy 3.48764 0.932184) (xy 3.47124 0.94705) (xy 3.454981 0.961912) (xy 3.4222 0.991643) + (xy 3.405918 1.006512) (xy 3.389518 1.021375) (xy 3.373281 1.036134) (xy 3.356881 1.050996) + (xy 3.340618 1.065862) (xy 3.30784 1.095593) (xy 3.291559 1.110462) (xy 3.275159 1.125325) + (xy 3.258881 1.14019) (xy 3.2425 1.154946) (xy 3.22624 1.169812) (xy 3.215281 1.179906) + (xy 3.204218 1.189993)) (layer B.SilkS) (width 0)) + ) + + (module Capacitor_SMD:CP_Elec_3x5.4 (layer F.Cu) (tedit 5A841F9D) (tstamp 5C032C32) + (at 149.86 62.23 270) + (descr "SMT capacitor, aluminium electrolytic, 3x5.4, Nichicon ") + (tags "Capacitor Electrolytic") + (path /5C07F5DF) + (attr smd) + (fp_text reference C3 (at -4.064 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value CP_Small (at 0 2.7 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.6 0.6) (thickness 0.09))) + ) + (fp_line (start -2.85 1.05) (end -1.78 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.85 -1.05) (end -2.85 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.78 -1.05) (end -2.85 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.78 -1.05) (end -0.93 -1.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.78 1.05) (end -0.93 1.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.93 -1.9) (end 1.9 -1.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.93 1.9) (end 1.9 1.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 1.05) (end 1.9 1.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.85 1.05) (end 1.9 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.85 -1.05) (end 2.85 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 -1.05) (end 2.85 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.9 -1.9) (end 1.9 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.1875 -1.6225) (end -2.1875 -1.2475) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.375 -1.435) (end -2 -1.435) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.570563 1.06) (end -0.870563 1.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.570563 -1.06) (end -0.870563 -1.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.870563 1.76) (end 1.76 1.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.870563 -1.76) (end 1.76 -1.76) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.76 -1.76) (end 1.76 -1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.76 1.76) (end 1.76 1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.960469 -0.95) (end -0.960469 -0.65) (layer F.Fab) (width 0.1)) + (fp_line (start -1.110469 -0.8) (end -0.810469 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.65 0.825) (end -0.825 1.65) (layer F.Fab) (width 0.1)) + (fp_line (start -1.65 -0.825) (end -0.825 -1.65) (layer F.Fab) (width 0.1)) + (fp_line (start -1.65 -0.825) (end -1.65 0.825) (layer F.Fab) (width 0.1)) + (fp_line (start -0.825 1.65) (end 1.65 1.65) (layer F.Fab) (width 0.1)) + (fp_line (start -0.825 -1.65) (end 1.65 -1.65) (layer F.Fab) (width 0.1)) + (fp_line (start 1.65 -1.65) (end 1.65 1.65) (layer F.Fab) (width 0.1)) + (fp_circle (center 0 0) (end 1.5 0) (layer F.Fab) (width 0.1)) + (pad 2 smd rect (at 1.5 0 270) (size 2.2 1.6) (layers F.Cu F.Paste F.Mask) + (net 3 GND)) + (pad 1 smd rect (at -1.5 0 270) (size 2.2 1.6) (layers F.Cu F.Paste F.Mask) + (net 4 VCC)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/CP_Elec_3x5.4.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5C032CB8) + (at 153.416 62.23 270) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5C07E1E5) + (attr smd) + (fp_text reference C2 (at -2.794 0.127) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value C_Small (at 0 1.65 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 4 VCC)) + (pad 2 smd roundrect (at 1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 3 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5C032C88) + (at 146.304 62.23 270) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5C066F30) + (attr smd) + (fp_text reference C1 (at -2.794 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value C_Small (at 0 1.65 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 4 VCC)) + (pad 2 smd roundrect (at 1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 3 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 5BF09D59) (tstamp 5C0462B3) + (at 156.972 64.765 180) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5C066E44) + (fp_text reference J2 (at 0 -2.33 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x03 (at 0 7.41 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 2.54 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 GND)) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 "Net-(J2-Pad2)")) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 4 VCC)) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical (layer F.Cu) (tedit 5C03275F) (tstamp 5C042C4A) + (at 142.748 66.04 180) + (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x04 2.54mm single row") + (path /5C066D15) + (fp_text reference J1 (at 0 -2.33 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x04 (at 0 9.95 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 3.81 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 GND)) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 6 /D-)) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 /D+)) + (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 4 VCC)) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_SO:SOIC-8_3.9x4.9mm_P1.27mm (layer B.Cu) (tedit 5BF09E24) (tstamp 5C0337DE) + (at 149.987 62.23 180) + (descr "8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SOIC 1.27") + (path /5C06691A) + (attr smd) + (fp_text reference U1 (at 3.048 3.302 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MAX485E (at -1.651 3.302 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.95 2.45) (end 1.95 2.45) (layer B.Fab) (width 0.1)) + (fp_line (start 1.95 2.45) (end 1.95 -2.45) (layer B.Fab) (width 0.1)) + (fp_line (start 1.95 -2.45) (end -1.95 -2.45) (layer B.Fab) (width 0.1)) + (fp_line (start -1.95 -2.45) (end -1.95 1.45) (layer B.Fab) (width 0.1)) + (fp_line (start -1.95 1.45) (end -0.95 2.45) (layer B.Fab) (width 0.1)) + (fp_line (start -3.73 2.7) (end -3.73 -2.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.73 2.7) (end 3.73 -2.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.73 2.7) (end 3.73 2.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.73 -2.7) (end 3.73 -2.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.075 2.575) (end -2.075 2.525) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.075 2.575) (end 2.075 2.43) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.075 -2.575) (end 2.075 -2.43) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.075 -2.575) (end -2.075 -2.43) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.075 2.575) (end 2.075 2.575) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.075 -2.575) (end 2.075 -2.575) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.075 2.525) (end -3.475 2.525) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -2.7 1.905 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 1 "Net-(R2-Pad2)")) + (pad 2 smd rect (at -2.7 0.635 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 3 smd rect (at -2.7 -0.635 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 4 smd rect (at -2.7 -1.905 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 5 smd rect (at 2.7 -1.905 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 6 smd rect (at 2.7 -0.635 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 6 /D-)) + (pad 7 smd rect (at 2.7 0.635 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 5 /D+)) + (pad 8 smd rect (at 2.7 1.905 180) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask) + (net 4 VCC)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer B.Cu) (tedit 5B36C52B) (tstamp 5C042601) + (at 154.94 62.23 90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5C06E1D6) + (attr smd) + (fp_text reference R2 (at 0 1.65 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value R_Small (at 0 -1.65 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + ) + (fp_line (start 1.85 -0.95) (end -1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end 1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end 1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end -1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 90) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 1 "Net-(R2-Pad2)")) + (pad 1 smd roundrect (at -1.025 0 90) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 2 "Net-(J2-Pad2)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer B.Cu) (tedit 5B36C52B) (tstamp 5C03267B) + (at 144.78 62.23 270) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5C066BB7) + (attr smd) + (fp_text reference R1 (at -2.54 0 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value R_Small (at 0 -1.65 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.85 -0.95) (end -1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end 1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end 1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end -1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + ) + (pad 1 smd roundrect (at -1.025 0 270) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 5 /D+)) + (pad 2 smd roundrect (at 1.025 0 270) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 6 /D-)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module own:LOGO_MID (layer F.Cu) (tedit 0) (tstamp 5C03355D) + (at 152.146 64.643 180) + (fp_text reference "" (at 0 0 180) (layer F.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_text value "" (at 0 0 180) (layer F.SilkS) + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_poly (pts (xy 3.193259 -1.200087) (xy 3.1823 -1.189993) (xy 3.171218 -1.179906) (xy 3.160281 -1.169812) + (xy 3.113381 -1.127168) (xy 3.097659 -1.112956) (xy 3.035159 -1.056096) (xy 3.019418 -1.041884) + (xy 2.9413 -0.970812) (xy 2.925559 -0.956596) (xy 2.863059 -0.899737) (xy 2.85014 -0.888131) + (xy 2.837359 -0.876412) (xy 2.82444 -0.8648) (xy 2.81164 -0.853187) (xy 2.872381 -0.820203) + (xy 2.891718 -0.809568) (xy 2.91114 -0.799043) (xy 2.93044 -0.788518) (xy 2.949759 -0.777887) + (xy 2.969081 -0.767253) (xy 2.9885 -0.756728) (xy 3.0078 -0.746203) (xy 3.02714 -0.735568) + (xy 3.046559 -0.725043) (xy 3.065859 -0.714518) (xy 3.085159 -0.703884) (xy 3.1045 -0.693359) + (xy 3.123918 -0.682834) (xy 3.143218 -0.6722) (xy 3.162559 -0.661675) (xy 3.181859 -0.65104) + (xy 3.201159 -0.640515) (xy 3.220581 -0.62999) (xy 3.239918 -0.619359) (xy 3.278518 -0.598309) + (xy 3.297981 -0.587675) (xy 3.317281 -0.577146) (xy 3.336581 -0.566512) (xy 3.355918 -0.555987) + (xy 3.37534 -0.545356) (xy 3.41394 -0.524196) (xy 3.433381 -0.513671) (xy 3.4527 -0.503146) + (xy 3.472 -0.492512) (xy 3.51064 -0.471462) (xy 3.530059 -0.460828) (xy 3.549359 -0.450303) + (xy 3.5687 -0.439668) (xy 3.588 -0.429143) (xy 3.607418 -0.418618) (xy 3.626759 -0.407987) + (xy 3.646159 -0.397462) (xy 3.665481 -0.386828) (xy 3.704118 -0.365668) (xy 3.723518 -0.355143) + (xy 3.74284 -0.344618) (xy 3.762159 -0.333984) (xy 3.781581 -0.323459) (xy 3.800881 -0.312825) + (xy 3.8202 -0.3023) (xy 3.83964 -0.291559) (xy 3.878259 -0.270615) (xy 3.897659 -0.259981) + (xy 3.917 -0.249459) (xy 3.9363 -0.238825) (xy 3.955718 -0.228296) (xy 3.975059 -0.217662) + (xy 3.994359 -0.20714) (xy 4.013781 -0.196615) (xy 4.033081 -0.185981) (xy 4.052418 -0.175456) + (xy 4.071718 -0.164821) (xy 4.09114 -0.154296) (xy 4.110481 -0.143771) (xy 4.129781 -0.133028) + (xy 4.149081 -0.122612) (xy 4.1685 -0.111978) (xy 4.18784 -0.101453) (xy 4.20724 -0.090818) + (xy 4.226559 -0.080293) (xy 4.245881 -0.069662) (xy 4.2653 -0.059137) (xy 4.284618 -0.048503) + (xy 4.303918 -0.037978) (xy 4.32324 -0.027453) (xy 4.342659 -0.016818) (xy 4.361981 -0.006293) + (xy 4.3788 -0.000978) (xy 4.396381 -0.001843) (xy 4.412559 -0.008896) (xy 4.42514 -0.021265) + (xy 4.432618 -0.037218) (xy 4.434018 -0.054796) (xy 4.42914 -0.071721) (xy 4.418618 -0.085828) + (xy 4.385859 -0.115559) (xy 4.369581 -0.130315) (xy 4.3532 -0.145181) (xy 4.336918 -0.160046) + (xy 4.320518 -0.174912) (xy 4.304259 -0.189778) (xy 4.287859 -0.204643) (xy 4.271581 -0.219403) + (xy 4.255218 -0.234265) (xy 4.23894 -0.249131) (xy 4.222559 -0.263996) (xy 4.206281 -0.278862) + (xy 4.189881 -0.293728) (xy 4.173618 -0.308593) (xy 4.157218 -0.323459) (xy 4.14094 -0.338215) + (xy 4.124559 -0.353081) (xy 4.108281 -0.367946) (xy 4.091918 -0.382812) (xy 4.07564 -0.397678) + (xy 4.05924 -0.412437) (xy 4.042981 -0.4273) (xy 4.026581 -0.442059) (xy 4.0103 -0.457031) + (xy 3.977518 -0.486762) (xy 3.96124 -0.501628) (xy 3.944881 -0.516493) (xy 3.928618 -0.53114) + (xy 3.912218 -0.546115) (xy 3.89594 -0.560981) (xy 3.879559 -0.575846) (xy 3.863281 -0.590712) + (xy 3.846881 -0.605468) (xy 3.830618 -0.620334) (xy 3.814259 -0.6352) (xy 3.797981 -0.650062) + (xy 3.781581 -0.664931) (xy 3.7653 -0.679796) (xy 3.748918 -0.694662) (xy 3.73264 -0.709418) + (xy 3.699859 -0.73915) (xy 3.683581 -0.754012) (xy 3.667218 -0.768881) (xy 3.65094 -0.783743) + (xy 3.634559 -0.798503) (xy 3.618281 -0.813478) (xy 3.601881 -0.828234) (xy 3.585618 -0.8431) + (xy 3.569218 -0.857962) (xy 3.55294 -0.872831) (xy 3.5202 -0.902562) (xy 3.503918 -0.917315) + (xy 3.48764 -0.932184) (xy 3.47124 -0.94705) (xy 3.454981 -0.961912) (xy 3.4222 -0.991643) + (xy 3.405918 -1.006512) (xy 3.389518 -1.021375) (xy 3.373281 -1.036134) (xy 3.356881 -1.050996) + (xy 3.340618 -1.065862) (xy 3.30784 -1.095593) (xy 3.291559 -1.110462) (xy 3.275159 -1.125325) + (xy 3.258881 -1.14019) (xy 3.2425 -1.154946) (xy 3.22624 -1.169812) (xy 3.215281 -1.179906) + (xy 3.204218 -1.189993)) (layer F.SilkS) (width 0)) + (fp_poly (pts (xy 2.318581 -1.995225) (xy 2.318581 -1.4018) (xy 2.3915 -1.441731) (xy 2.428159 -1.461696) + (xy 2.4464 -1.471681) (xy 2.501418 -1.501628) (xy 2.51964 -1.511503) (xy 2.537981 -1.521484) + (xy 2.574659 -1.54145) (xy 2.592881 -1.551434) (xy 2.629559 -1.571396) (xy 2.647781 -1.581381) + (xy 2.666118 -1.591362) (xy 2.726881 -1.62435) (xy 2.714081 -1.635959) (xy 2.701181 -1.647568) + (xy 2.688359 -1.659287) (xy 2.675559 -1.670896) (xy 2.64324 -1.700193) (xy 2.627059 -1.714953) + (xy 2.6109 -1.7296) (xy 2.594718 -1.744359) (xy 2.5624 -1.773656) (xy 2.54634 -1.788303) + (xy 2.530159 -1.803062) (xy 2.49784 -1.832356) (xy 2.481659 -1.847112) (xy 2.4655 -1.861762) + (xy 2.449318 -1.876518) (xy 2.417 -1.905815) (xy 2.400818 -1.920571) (xy 2.38444 -1.935437) + (xy 2.36794 -1.950412) (xy 2.351459 -1.965168) (xy 2.335059 -1.980253)) (layer F.SilkS) (width 0)) + (fp_poly (pts (xy 1.772787 -1.683918) (xy 1.787 -1.670246) (xy 1.801215 -1.656359) (xy 1.815321 -1.642578) + (xy 1.829537 -1.628687) (xy 1.84375 -1.614909) (xy 1.859915 -1.629559) (xy 1.876193 -1.644531) + (xy 1.892362 -1.659287) (xy 1.908528 -1.674153) (xy 1.924806 -1.689018) (xy 1.940971 -1.703775) + (xy 1.957246 -1.71864) (xy 1.973415 -1.733396) (xy 1.989584 -1.748262) (xy 2.005859 -1.763021) + (xy 2.022018 -1.777887) (xy 2.0382 -1.792643) (xy 2.054459 -1.807509) (xy 2.07064 -1.822265) + (xy 2.0868 -1.837131) (xy 2.103081 -1.851781) (xy 2.11924 -1.866753) (xy 2.135418 -1.881512) + (xy 2.1517 -1.896375) (xy 2.167859 -1.911134) (xy 2.167859 -1.373696) (xy 2.15114 -1.365668) + (xy 2.135859 -1.355253) (xy 2.12164 -1.343534) (xy 2.135859 -1.329537) (xy 2.150181 -1.315646) + (xy 2.1786 -1.287868) (xy 2.192918 -1.273871) (xy 2.20574 -1.28179) (xy 2.220259 -1.285156) + (xy 2.239259 -1.281465) (xy 2.255318 -1.270725) (xy 2.265959 -1.254775) (xy 2.26964 -1.235787) + (xy 2.266281 -1.221896) (xy 2.2589 -1.209418) (xy 2.273118 -1.195531) (xy 2.28744 -1.18164) + (xy 2.330081 -1.139975) (xy 2.343 -1.156575) (xy 2.353518 -1.174696) (xy 2.36144 -1.194337) + (xy 2.366218 -1.214843) (xy 2.36784 -1.235787) (xy 2.367181 -1.250759) (xy 2.3865 -1.261393) + (xy 2.405918 -1.271918) (xy 2.42524 -1.282662) (xy 2.444659 -1.293293) (xy 2.483281 -1.314562) + (xy 2.52214 -1.335828) (xy 2.560759 -1.357096) (xy 2.580181 -1.367731) (xy 2.5995 -1.378362) + (xy 2.618918 -1.388996) (xy 2.657559 -1.410262) (xy 2.676981 -1.420896) (xy 2.696281 -1.431534) + (xy 2.715718 -1.442059) (xy 2.75434 -1.463434) (xy 2.773759 -1.474065) (xy 2.812381 -1.495334) + (xy 2.8318 -1.505965) (xy 2.85114 -1.516603) (xy 2.870559 -1.527237) (xy 2.889859 -1.537868) + (xy 2.909281 -1.548503) (xy 2.928618 -1.559137) (xy 2.948018 -1.569768) (xy 2.96734 -1.580403) + (xy 2.986759 -1.591037) (xy 3.025381 -1.612196) (xy 3.0448 -1.622937) (xy 3.06414 -1.633571) + (xy 3.083559 -1.644206) (xy 3.122159 -1.665471) (xy 3.141618 -1.676106) (xy 3.160918 -1.68674) + (xy 3.18034 -1.697375) (xy 3.218981 -1.71864) (xy 3.238381 -1.729275) (xy 3.277018 -1.75054) + (xy 3.29644 -1.761175) (xy 3.335081 -1.782337) (xy 3.3545 -1.792968) (xy 3.41244 -1.824868) + (xy 3.431859 -1.835503) (xy 3.451159 -1.846137) (xy 3.470581 -1.856771) (xy 3.489918 -1.867406) + (xy 3.50934 -1.878037) (xy 3.547981 -1.899196) (xy 3.5868 -1.920571) (xy 3.62544 -1.94184) + (xy 3.644859 -1.952475) (xy 3.683481 -1.97374) (xy 3.702918 -1.984375) (xy 3.722218 -1.995009) + (xy 3.74164 -2.00564) (xy 3.799581 -2.03754) (xy 3.819 -2.048181) (xy 3.85764 -2.06934) + (xy 3.877059 -2.080081) (xy 3.9157 -2.10134) (xy 3.935118 -2.111981) (xy 3.97374 -2.13324) + (xy 3.993159 -2.143881) (xy 4.012481 -2.154518) (xy 4.031881 -2.16514) (xy 4.051218 -2.175781) + (xy 4.03494 -2.1608) (xy 4.018559 -2.14594) (xy 4.002281 -2.130959) (xy 3.985881 -2.1161) + (xy 3.969618 -2.101118) (xy 3.953218 -2.086159) (xy 3.936859 -2.071281) (xy 3.920581 -2.056318) + (xy 3.9042 -2.041459) (xy 3.887918 -2.026481) (xy 3.871518 -2.0115) (xy 3.855259 -1.996637) + (xy 3.838859 -1.981662) (xy 3.822581 -1.966796) (xy 3.8062 -1.951821) (xy 3.78994 -1.936959) + (xy 3.757159 -1.907009) (xy 3.740881 -1.892143) (xy 3.7245 -1.877168) (xy 3.708218 -1.862196) + (xy 3.69184 -1.847331) (xy 3.675559 -1.832465) (xy 3.659281 -1.81749) (xy 3.642918 -1.802625) + (xy 3.626518 -1.787653) (xy 3.61024 -1.772787) (xy 3.593981 -1.757812) (xy 3.577581 -1.742946) + (xy 3.5613 -1.727971) (xy 3.544918 -1.713109) (xy 3.52864 -1.698134) (xy 3.512259 -1.683268) + (xy 3.495981 -1.668293) (xy 3.479618 -1.653428) (xy 3.46334 -1.638456) (xy 3.44694 -1.623587) + (xy 3.430659 -1.608615) (xy 3.414281 -1.59364) (xy 3.398 -1.578775) (xy 3.381718 -1.563912) + (xy 3.36534 -1.548937) (xy 3.348981 -1.534071) (xy 3.3327 -1.519096) (xy 3.3163 -1.504121) + (xy 3.300018 -1.489259) (xy 3.28364 -1.474284) (xy 3.267359 -1.459418) (xy 3.250981 -1.444337) + (xy 3.2347 -1.429578) (xy 3.2183 -1.414606) (xy 3.202018 -1.399737) (xy 3.185659 -1.384765) + (xy 3.169381 -1.3699) (xy 3.153 -1.354925) (xy 3.136718 -1.339953) (xy 3.12034 -1.325087) + (xy 3.104059 -1.310112) (xy 3.087659 -1.295246) (xy 3.071381 -1.280271) (xy 3.055 -1.265409) + (xy 3.03874 -1.250434) (xy 3.022481 -1.235568) (xy 3.006081 -1.220593) (xy 2.9898 -1.205731) + (xy 2.973418 -1.190756) (xy 2.95714 -1.175887) (xy 2.94074 -1.160809) (xy 2.924481 -1.14605) + (xy 2.908081 -1.131187) (xy 2.8918 -1.116212) (xy 2.875559 -1.101343) (xy 2.859159 -1.086371) + (xy 2.842881 -1.071506) (xy 2.8265 -1.056534) (xy 2.810218 -1.041559) (xy 2.79384 -1.02669) + (xy 2.777559 -1.011828) (xy 2.761281 -0.996853) (xy 2.7449 -0.981987) (xy 2.728618 -0.967012) + (xy 2.71224 -0.952146) (xy 2.695959 -0.937175) (xy 2.679581 -0.922309) (xy 2.6632 -0.907337) + (xy 2.646918 -0.892253) (xy 2.63054 -0.877493) (xy 2.614259 -0.862521) (xy 2.628359 -0.84874) + (xy 2.642581 -0.834853) (xy 2.656681 -0.820962) (xy 2.6709 -0.807184) (xy 2.685118 -0.793293) + (xy 2.783418 -0.883031) (xy 2.7998 -0.898218) (xy 2.8162 -0.913087) (xy 2.832581 -0.928062) + (xy 2.86534 -0.958009) (xy 2.881718 -0.97309) (xy 2.898118 -0.987956) (xy 2.9145 -1.002931) + (xy 2.930781 -1.017903) (xy 3.192918 -1.257487) (xy 3.2093 -1.272568) (xy 3.2258 -1.287543) + (xy 3.27494 -1.332465) (xy 3.291218 -1.347437) (xy 3.307618 -1.362196) (xy 3.602518 -1.631837) + (xy 3.6188 -1.646812) (xy 3.6353 -1.661784) (xy 3.668081 -1.691731) (xy 3.684359 -1.706706) + (xy 3.70084 -1.721681) (xy 3.717218 -1.736653) (xy 3.7335 -1.751628) (xy 3.766281 -1.781575) + (xy 3.782781 -1.79655) (xy 3.799159 -1.811521) (xy 3.815418 -1.826496) (xy 3.831918 -1.841362) + (xy 3.8482 -1.856443) (xy 3.8647 -1.871418) (xy 3.881081 -1.886393) (xy 3.897359 -1.901365) + (xy 3.913759 -1.91634) (xy 3.930218 -1.931312) (xy 3.995781 -1.991212) (xy 4.012059 -2.006181) + (xy 4.028418 -2.021159) (xy 4.044918 -2.03614) (xy 4.0612 -2.0511) (xy 4.356118 -2.32054) + (xy 4.3725 -2.335718) (xy 4.421659 -2.38064) (xy 4.433481 -2.396381) (xy 4.43814 -2.415359) + (xy 4.434881 -2.434781) (xy 4.424159 -2.451281) (xy 4.407781 -2.462559) (xy 4.38834 -2.466359) + (xy 4.3762 -2.46474) (xy 4.3648 -2.460059) (xy 4.32594 -2.4388) (xy 4.306518 -2.428059) + (xy 4.287 -2.417418) (xy 4.267581 -2.4068) (xy 4.248159 -2.39604) (xy 4.228718 -2.3853) + (xy 4.2093 -2.374681) (xy 4.170481 -2.3534) (xy 4.151059 -2.342659) (xy 4.1122 -2.3214) + (xy 4.092781 -2.310659) (xy 4.073359 -2.300018) (xy 4.053918 -2.289281) (xy 4.0345 -2.27864) + (xy 4.015081 -2.2679) (xy 3.995559 -2.257259) (xy 3.97614 -2.24664) (xy 3.9567 -2.2359) + (xy 3.937281 -2.225259) (xy 3.917859 -2.214518) (xy 3.879018 -2.193259) (xy 3.859581 -2.1825) + (xy 3.82074 -2.16124) (xy 3.80134 -2.1504) (xy 3.762481 -2.12924) (xy 3.743059 -2.118481) + (xy 3.704218 -2.097118) (xy 3.684781 -2.086481) (xy 3.64594 -2.065218) (xy 3.626518 -2.054459) + (xy 3.607081 -2.04384) (xy 3.587659 -2.0331) (xy 3.54884 -2.011818) (xy 3.529418 -2.001081) + (xy 3.490559 -1.979815) (xy 3.47114 -1.969075) (xy 3.4323 -1.947809) (xy 3.412859 -1.937065) + (xy 3.39344 -1.926434) (xy 3.374018 -1.915687) (xy 3.335159 -1.894421) (xy 3.31574 -1.883681) + (xy 3.296218 -1.873046) (xy 3.276918 -1.862412) (xy 3.257381 -1.851671) (xy 3.23794 -1.841037) + (xy 3.218518 -1.830293) (xy 3.1797 -1.808918) (xy 3.160281 -1.798287) (xy 3.121418 -1.777018) + (xy 3.102 -1.766275) (xy 3.082581 -1.75564) (xy 3.06314 -1.7449) (xy 3.0243 -1.723634) + (xy 3.004881 -1.71289) (xy 2.966018 -1.691621) (xy 2.946618 -1.680881) (xy 2.907781 -1.659612) + (xy 2.88834 -1.648871) (xy 2.868918 -1.638237) (xy 2.8495 -1.627493) (xy 2.830081 -1.616862) + (xy 2.810559 -1.606228) (xy 2.791118 -1.595487) (xy 2.7717 -1.584853) (xy 2.752281 -1.574003) + (xy 2.71344 -1.55284) (xy 2.694018 -1.5421) (xy 2.674581 -1.531465) (xy 2.655159 -1.520725) + (xy 2.63574 -1.51009) (xy 2.616218 -1.49935) (xy 2.577359 -1.478081) (xy 2.55794 -1.467337) + (xy 2.5191 -1.446071) (xy 2.499781 -1.435331) (xy 2.480259 -1.424696) (xy 2.460818 -1.414062) + (xy 2.4414 -1.403318) (xy 2.421981 -1.392687) (xy 2.402559 -1.381837) (xy 2.363718 -1.360678) + (xy 2.3443 -1.349937) (xy 2.324859 -1.3393) (xy 2.311959 -1.350912) (xy 2.297859 -1.360893) + (xy 2.282559 -1.36914) (xy 2.2665 -1.375759) (xy 2.2665 -2.023318) (xy 2.263018 -2.041881) + (xy 2.252818 -2.057718) (xy 2.2373 -2.068459) (xy 2.218859 -2.0727) (xy 2.200081 -2.069659) + (xy 2.183818 -2.059781) (xy 2.168081 -2.045359) (xy 2.15224 -2.030918) (xy 2.1364 -2.016381) + (xy 2.120659 -2.001959) (xy 2.088981 -1.97309) (xy 2.07324 -1.958659) (xy 2.0574 -1.944228) + (xy 2.041559 -1.929687) (xy 2.025718 -1.915256) (xy 2.009981 -1.900825) (xy 1.9783 -1.871962) + (xy 1.962562 -1.857421) (xy 1.930881 -1.828559) (xy 1.915146 -1.814128) (xy 1.883462 -1.785262) + (xy 1.867621 -1.770725) (xy 1.851887 -1.756293) (xy 1.836046 -1.741862) (xy 1.820312 -1.727431) + (xy 1.804468 -1.71289) (xy 1.788628 -1.698459)) (layer F.SilkS) (width 0)) + (fp_poly (pts (xy 2.122059 -1.070531) (xy 2.049159 -1.0306) (xy 2.030381 -1.02029) (xy 2.0115 -1.01009) + (xy 1.992731 -0.999784) (xy 1.973959 -0.989475) (xy 1.955187 -0.979275) (xy 1.936306 -0.969075) + (xy 1.917537 -0.958765) (xy 1.898762 -0.948565) (xy 1.87999 -0.938259) (xy 1.861112 -0.928062) + (xy 1.842337 -0.917753) (xy 1.823565 -0.907553) (xy 1.804796 -0.897243) (xy 1.786025 -0.887043) + (xy 1.767143 -0.876737) (xy 1.752062 -0.868487) (xy 1.736762 -0.860243) (xy 1.706381 -0.84364) + (xy 1.71929 -0.832137) (xy 1.732096 -0.820531) (xy 1.745009 -0.808918) (xy 1.757812 -0.797309) + (xy 1.773437 -0.782987) (xy 1.789171 -0.768771) (xy 1.804796 -0.75445) (xy 1.820421 -0.740234) + (xy 1.836156 -0.726018) (xy 1.851781 -0.711696) (xy 1.867406 -0.697484) (xy 1.883137 -0.683268) + (xy 1.898762 -0.668943) (xy 1.914387 -0.654731) (xy 1.930121 -0.640515) (xy 1.945746 -0.626087) + (xy 1.961481 -0.611981) (xy 1.977106 -0.597656) (xy 1.992731 -0.58344) (xy 2.008459 -0.569118) + (xy 2.039718 -0.540687) (xy 2.0535 -0.528103) (xy 2.067159 -0.515625) (xy 2.08094 -0.503146) + (xy 2.108281 -0.478187) (xy 2.122059 -0.465712)) (layer F.SilkS) (width 0)) + (fp_poly (pts (xy 1.772787 -1.683918) (xy 1.756512 -1.669162) (xy 1.740125 -1.654187) (xy 1.72385 -1.639321) + (xy 1.707465 -1.62435) (xy 1.691187 -1.609375) (xy 1.674806 -1.594509) (xy 1.658418 -1.579537) + (xy 1.642143 -1.564562) (xy 1.625759 -1.549696) (xy 1.609375 -1.534612) (xy 1.5931 -1.519746) + (xy 1.576712 -1.504884) (xy 1.560331 -1.489909) (xy 1.544053 -1.474937) (xy 1.527668 -1.459962) + (xy 1.511393 -1.445093) (xy 1.495009 -1.430121) (xy 1.478625 -1.415256) (xy 1.462346 -1.400284) + (xy 1.429578 -1.370334) (xy 1.413303 -1.355468) (xy 1.380534 -1.325521) (xy 1.364259 -1.310656) + (xy 1.347871 -1.295681) (xy 1.331596 -1.280709) (xy 1.315212 -1.26584) (xy 1.298828 -1.250868) + (xy 1.282553 -1.235893) (xy 1.266165 -1.221028) (xy 1.249784 -1.205946) (xy 1.233509 -1.191187) + (xy 1.217121 -1.176215) (xy 1.200846 -1.16124) (xy 1.184462 -1.146375) (xy 1.168078 -1.131403) + (xy 1.1518 -1.116428) (xy 1.135415 -1.101562) (xy 1.11914 -1.086587) (xy 1.102756 -1.071721) + (xy 1.086481 -1.05664) (xy 1.070093 -1.041775) (xy 1.053712 -1.026912) (xy 1.037437 -1.011937) + (xy 1.02105 -0.996962) (xy 1.004775 -0.982096) (xy 0.972006 -0.952146) (xy 0.955731 -0.937284) + (xy 0.939343 -0.922309) (xy 0.923068 -0.907337) (xy 0.906684 -0.892468) (xy 0.8903 -0.877493) + (xy 0.874021 -0.862521) (xy 0.857637 -0.847656) (xy 0.841256 -0.832684) (xy 0.824978 -0.817709) + (xy 0.808593 -0.80284) (xy 0.792315 -0.787868) (xy 0.775934 -0.772893) (xy 0.75955 -0.758031) + (xy 0.743271 -0.742946) (xy 0.726887 -0.728081) (xy 0.710612 -0.713215) (xy 0.67784 -0.683268) + (xy 0.661565 -0.668403) (xy 0.628796 -0.638456) (xy 0.612521 -0.623587) (xy 0.579753 -0.59364) + (xy 0.563478 -0.578775) (xy 0.530709 -0.548828) (xy 0.514431 -0.533962) (xy 0.498046 -0.518987) + (xy 0.481771 -0.504012) (xy 0.465387 -0.48915) (xy 0.449003 -0.474175) (xy 0.432725 -0.459203) + (xy 0.41634 -0.444228) (xy 0.400062 -0.429362) (xy 0.383681 -0.414281) (xy 0.367296 -0.399521) + (xy 0.351018 -0.38455) (xy 0.334637 -0.369575) (xy 0.31825 -0.354709) (xy 0.301975 -0.339737) + (xy 0.28559 -0.324762) (xy 0.269206 -0.309896) (xy 0.252931 -0.294921) (xy 0.220159 -0.264975) + (xy 0.203884 -0.250109) (xy 0.1875 -0.235134) (xy 0.171225 -0.220159) (xy 0.154837 -0.205296) + (xy 0.138456 -0.190321) (xy 0.122178 -0.175456) (xy 0.105793 -0.160481) (xy 0.089518 -0.145509) + (xy 0.073134 -0.13064) (xy 0.056859 -0.115668) (xy 0.040471 -0.100587) (xy 0.024087 -0.085828) + (xy 0.013237 -0.071615) (xy 0.008246 -0.054471) (xy 0.00955 -0.036675) (xy 0.017143 -0.020509) + (xy 0.030056 -0.008031) (xy 0.04644 -0.001084) (xy 0.064237 -0.000543) (xy 0.081056 -0.006293) + (xy 0.100478 -0.016928) (xy 0.1199 -0.027668) (xy 0.139321 -0.038303) (xy 0.158853 -0.049043) + (xy 0.1977 -0.070312) (xy 0.217121 -0.080946) (xy 0.255968 -0.102321) (xy 0.27539 -0.113062) + (xy 0.294812 -0.123696) (xy 0.314237 -0.13444) (xy 0.353081 -0.155709) (xy 0.372506 -0.16645) + (xy 0.391928 -0.176975) (xy 0.411459 -0.187715) (xy 0.430881 -0.198459) (xy 0.450303 -0.20909) + (xy 0.469728 -0.219837) (xy 0.48915 -0.230468) (xy 0.508571 -0.241209) (xy 0.547415 -0.262478) + (xy 0.56684 -0.273218) (xy 0.586371 -0.283856) (xy 0.605687 -0.294487) (xy 0.625109 -0.305231) + (xy 0.644637 -0.315862) (xy 0.664062 -0.326496) (xy 0.683487 -0.337237) (xy 0.722331 -0.358509) + (xy 0.741753 -0.36914) (xy 0.761175 -0.379884) (xy 0.7806 -0.390625) (xy 0.838865 -0.422525) + (xy 0.858287 -0.433268) (xy 0.877712 -0.443903) (xy 0.897137 -0.454643) (xy 0.935981 -0.475912) + (xy 0.955403 -0.486653) (xy 0.99425 -0.507921) (xy 1.013671 -0.518662) (xy 1.033203 -0.529296) + (xy 1.052625 -0.540037) (xy 1.091471 -0.561306) (xy 1.110893 -0.57205) (xy 1.149737 -0.593315) + (xy 1.169162 -0.604059) (xy 1.188587 -0.61469) (xy 1.208009 -0.625434) (xy 1.246853 -0.646703) + (xy 1.266275 -0.657337) (xy 1.285809 -0.668078) (xy 1.305121 -0.678712) (xy 1.324543 -0.689453) + (xy 1.344075 -0.700087) (xy 1.363496 -0.710828) (xy 1.402343 -0.732096) (xy 1.421765 -0.742837) + (xy 1.460612 -0.764106) (xy 1.480037 -0.774846) (xy 1.518881 -0.796115) (xy 1.538303 -0.806859) + (xy 1.557725 -0.81749) (xy 1.577146 -0.828234) (xy 1.596571 -0.838865) (xy 1.616103 -0.8495) + (xy 1.635525 -0.860243) (xy 1.654946 -0.870878) (xy 1.674368 -0.881618) (xy 1.713215 -0.902887) + (xy 1.732637 -0.913628) (xy 1.752168 -0.924262) (xy 1.77159 -0.935006) (xy 1.810437 -0.956271) + (xy 1.829862 -0.967012) (xy 1.849284 -0.977646) (xy 1.868706 -0.988387) (xy 1.907553 -1.009659) + (xy 1.926975 -1.0204) (xy 1.946396 -1.031034) (xy 1.965928 -1.041559) (xy 1.985353 -1.052409) + (xy 2.004781 -1.06304) (xy 2.0242 -1.073787) (xy 2.06304 -1.095053) (xy 2.082459 -1.105793) + (xy 2.101881 -1.116428) (xy 2.121318 -1.127168) (xy 2.13434 -1.116537) (xy 2.148318 -1.107531) + (xy 2.1633 -1.100153) (xy 2.17904 -1.094509) (xy 2.17904 -0.442709) (xy 2.182618 -0.424043) + (xy 2.192918 -0.408093) (xy 2.20854 -0.397243) (xy 2.226881 -0.393118) (xy 2.24554 -0.396265) + (xy 2.261618 -0.40614) (xy 2.277881 -0.421115) (xy 2.294159 -0.436087) (xy 2.31044 -0.450956) + (xy 2.326818 -0.465818) (xy 2.359381 -0.49555) (xy 2.37564 -0.510525) (xy 2.440759 -0.569987) + (xy 2.45704 -0.584962) (xy 2.489581 -0.61469) (xy 2.505959 -0.629559) (xy 2.538518 -0.659287) + (xy 2.5548 -0.674262) (xy 2.6199 -0.733725) (xy 2.636181 -0.748696) (xy 2.652459 -0.763562) + (xy 2.66884 -0.778428) (xy 2.685118 -0.793293) (xy 2.6709 -0.807184) (xy 2.656681 -0.820962) + (xy 2.642581 -0.834853) (xy 2.628359 -0.84874) (xy 2.614259 -0.862521) (xy 2.566081 -0.818578) + (xy 2.55014 -0.803928) (xy 2.501959 -0.759984) (xy 2.486 -0.745334) (xy 2.453881 -0.716037) + (xy 2.43794 -0.701281) (xy 2.3737 -0.642793) (xy 2.35774 -0.628146) (xy 2.32564 -0.59885) + (xy 2.309681 -0.584203) (xy 2.277559 -0.554906) (xy 2.277559 -1.100043) (xy 2.2971 -1.110568) + (xy 2.314559 -1.124134) (xy 2.330081 -1.139975) (xy 2.28744 -1.18164) (xy 2.273118 -1.195531) + (xy 2.2589 -1.209418) (xy 2.2487 -1.19759) (xy 2.235559 -1.189343) (xy 2.220259 -1.186521) + (xy 2.201381 -1.190106) (xy 2.185218 -1.200737) (xy 2.174581 -1.216906) (xy 2.171 -1.235787) + (xy 2.173718 -1.250759) (xy 2.18154 -1.263781) (xy 2.192918 -1.273871) (xy 2.1786 -1.287868) + (xy 2.150181 -1.315646) (xy 2.135859 -1.329537) (xy 2.12164 -1.343534) (xy 2.10764 -1.328884) + (xy 2.0956 -1.31239) (xy 2.085818 -1.294812) (xy 2.078559 -1.275825) (xy 2.074218 -1.256078) + (xy 2.0727 -1.235787) (xy 2.07314 -1.2245) (xy 2.07444 -1.213215) (xy 2.05524 -1.20269) + (xy 1.997287 -1.170787) (xy 1.977971 -1.160262) (xy 1.939343 -1.138996) (xy 1.919921 -1.128362) + (xy 1.900715 -1.117731) (xy 1.881293 -1.107206) (xy 1.82335 -1.075303) (xy 1.804037 -1.064778) + (xy 1.784831 -1.054143) (xy 1.746203 -1.032878) (xy 1.726887 -1.022353) (xy 1.688259 -1.001087) + (xy 1.668943 -0.990562) (xy 1.611003 -0.958659) (xy 1.591687 -0.948134) (xy 1.533743 -0.916234) + (xy 1.514431 -0.905709) (xy 1.456487 -0.873806) (xy 1.437175 -0.863281) (xy 1.359915 -0.820746) + (xy 1.340603 -0.810112) (xy 1.301975 -0.788953) (xy 1.282662 -0.778428) (xy 1.244034 -0.757162) + (xy 1.224828 -0.746637) (xy 1.186196 -0.725368) (xy 1.166884 -0.714843) (xy 1.10894 -0.68294) + (xy 1.089628 -0.672415) (xy 1.070421 -0.661784) (xy 1.051106 -0.65115) (xy 1.03179 -0.640625) + (xy 0.97385 -0.608725) (xy 0.954537 -0.5982) (xy 0.89659 -0.566296) (xy 0.877278 -0.555771) + (xy 0.819337 -0.523871) (xy 0.800021 -0.513346) (xy 0.742078 -0.481443) (xy 0.722762 -0.470918) + (xy 0.684137 -0.449653) (xy 0.664821 -0.439128) (xy 0.645615 -0.428493) (xy 0.626303 -0.417753) + (xy 0.606987 -0.407337) (xy 0.549043 -0.375434) (xy 0.529731 -0.364909) (xy 0.491103 -0.34364) + (xy 0.471896 -0.333115) (xy 0.433268 -0.31185) (xy 0.413953 -0.301325) (xy 0.394637 -0.290687) + (xy 0.492296 -0.379884) (xy 0.508571 -0.394856) (xy 0.541125 -0.424587) (xy 0.557509 -0.439453) + (xy 0.573787 -0.454318) (xy 0.590062 -0.46929) (xy 0.67144 -0.543618) (xy 0.687715 -0.558593) + (xy 0.752821 -0.617946) (xy 0.769206 -0.632921) (xy 0.785481 -0.647787) (xy 0.801759 -0.662762) + (xy 0.899412 -0.751953) (xy 0.915687 -0.766928) (xy 0.931965 -0.78179) (xy 0.94835 -0.796659) + (xy 0.964628 -0.811521) (xy 0.980793 -0.826281) (xy 0.997178 -0.841256) (xy 1.046009 -0.88585) + (xy 1.062284 -0.900825) (xy 1.176215 -1.004884) (xy 1.19249 -1.019856) (xy 1.208765 -1.034612) + (xy 1.225043 -1.049587) (xy 1.257593 -1.079318) (xy 1.273981 -1.094184) (xy 1.306534 -1.123912) + (xy 1.322809 -1.138781) (xy 1.453015 -1.257812) (xy 1.46929 -1.272787) (xy 1.583225 -1.376843) + (xy 1.5995 -1.391818) (xy 1.615778 -1.406684) (xy 1.632162 -1.42155) (xy 1.762368 -1.540471) + (xy 1.778646 -1.555446) (xy 1.84375 -1.614909) (xy 1.829537 -1.628687) (xy 1.815321 -1.642578) + (xy 1.801215 -1.656359) (xy 1.787 -1.670246)) (layer F.SilkS) (width 0)) + (fp_poly (pts (xy 0.049153 -2.466359) (xy 0.029946 -2.462459) (xy 0.013781 -2.451281) (xy 0.003256 -2.434781) + (xy 0 -2.415481) (xy 0.004556 -2.396381) (xy 0.016168 -2.38064) (xy 0.032443 -2.365659) + (xy 0.065212 -2.33604) (xy 0.081487 -2.321181) (xy 0.097871 -2.306318) (xy 0.11415 -2.291459) + (xy 0.130534 -2.2767) (xy 0.146809 -2.261818) (xy 0.163193 -2.246959) (xy 0.195746 -2.21724) + (xy 0.212131 -2.202481) (xy 0.228515 -2.1876) (xy 0.24479 -2.17274) (xy 0.261175 -2.157881) + (xy 0.277453 -2.143018) (xy 0.293837 -2.12814) (xy 0.310112 -2.113281) (xy 0.326496 -2.0983) + (xy 0.342771 -2.083659) (xy 0.359159 -2.0688) (xy 0.375434 -2.053918) (xy 0.391818 -2.039059) + (xy 0.408093 -2.0242) (xy 0.424481 -2.00944) (xy 0.440756 -1.994575) (xy 0.473525 -1.964843) + (xy 0.4898 -1.949978) (xy 0.506187 -1.935112) (xy 0.522462 -1.920246) (xy 0.538843 -1.905384) + (xy 0.555121 -1.890625) (xy 0.571506 -1.875759) (xy 0.587784 -1.860893) (xy 0.604165 -1.846028) + (xy 0.62044 -1.831162) (xy 0.636828 -1.816296) (xy 0.653103 -1.80154) (xy 0.669487 -1.786675) + (xy 0.685762 -1.771812) (xy 0.702146 -1.756837) (xy 0.718425 -1.742078) (xy 0.751193 -1.712346) + (xy 0.767468 -1.697484) (xy 0.783856 -1.682615) (xy 0.800131 -1.667753) (xy 0.816512 -1.652993) + (xy 0.83279 -1.638131) (xy 0.849175 -1.623262) (xy 0.865453 -1.608396) (xy 0.881837 -1.593534) + (xy 0.898112 -1.578665) (xy 0.914496 -1.563912) (xy 0.930771 -1.549043) (xy 0.947159 -1.534181) + (xy 0.963434 -1.519312) (xy 0.979815 -1.504559) (xy 0.996093 -1.48969) (xy 1.028862 -1.459962) + (xy 1.045137 -1.445093) (xy 1.061521 -1.430231) (xy 1.0778 -1.415362) (xy 1.094184 -1.40039) + (xy 1.110462 -1.385634) (xy 1.143231 -1.355903) (xy 1.159506 -1.341146) (xy 1.175887 -1.326281) + (xy 1.192165 -1.311412) (xy 1.20855 -1.29655) (xy 1.219509 -1.286459) (xy 1.230468 -1.276475) + (xy 1.241537 -1.266384) (xy 1.252493 -1.276475) (xy 1.263456 -1.286459) (xy 1.274521 -1.29655) + (xy 1.290146 -1.310762) (xy 1.305662 -1.324978) (xy 1.415037 -1.424481) (xy 1.430771 -1.438587) + (xy 1.446396 -1.452909) (xy 1.461912 -1.467121) (xy 1.493162 -1.49555) (xy 1.508896 -1.509875) + (xy 1.524521 -1.524087) (xy 1.540037 -1.538303) (xy 1.555771 -1.552515) (xy 1.571396 -1.566731) + (xy 1.58409 -1.57834) (xy 1.596896 -1.589953) (xy 1.60959 -1.601562) (xy 1.622396 -1.613281) + (xy 1.592231 -1.629775) (xy 1.577037 -1.638021) (xy 1.561959 -1.646265) (xy 1.523328 -1.667315) + (xy 1.504012 -1.677953) (xy 1.48459 -1.688478) (xy 1.465278 -1.699003) (xy 1.42665 -1.720162) + (xy 1.407228 -1.730793) (xy 1.387912 -1.741318) (xy 1.368596 -1.751953) (xy 1.349284 -1.762478) + (xy 1.329862 -1.773003) (xy 1.310546 -1.783637) (xy 1.291234 -1.794162) (xy 1.271918 -1.804796) + (xy 1.252606 -1.815321) (xy 1.233181 -1.825846) (xy 1.213865 -1.836481) (xy 1.194553 -1.847006) + (xy 1.175237 -1.857531) (xy 1.136612 -1.878687) (xy 1.117187 -1.889321) (xy 1.097871 -1.899846) + (xy 1.078559 -1.910481) (xy 1.059137 -1.921009) (xy 1.039821 -1.931534) (xy 1.020509 -1.942059) + (xy 1.001193 -1.95269) (xy 0.981881 -1.963325) (xy 0.962456 -1.97385) (xy 0.94314 -1.984375) + (xy 0.923828 -1.995009) (xy 0.904512 -2.00554) (xy 0.8852 -2.016159) (xy 0.865778 -2.0267) + (xy 0.846462 -2.037218) (xy 0.827146 -2.047859) (xy 0.807834 -2.058381) (xy 0.788412 -2.069018) + (xy 0.749784 -2.090059) (xy 0.730468 -2.100581) (xy 0.711046 -2.111218) (xy 0.691731 -2.121859) + (xy 0.672415 -2.132381) (xy 0.652993 -2.143018) (xy 0.633681 -2.15354) (xy 0.614365 -2.164181) + (xy 0.595053 -2.1747) (xy 0.575631 -2.185118) (xy 0.556312 -2.195859) (xy 0.537 -2.206381) + (xy 0.517578 -2.217018) (xy 0.498262 -2.22754) (xy 0.47895 -2.238181) (xy 0.459637 -2.2487) + (xy 0.440212 -2.259218) (xy 0.420896 -2.269859) (xy 0.401584 -2.280381) (xy 0.382268 -2.291018) + (xy 0.362846 -2.30154) (xy 0.343534 -2.312059) (xy 0.324218 -2.3227) (xy 0.304906 -2.333218) + (xy 0.28559 -2.343859) (xy 0.266168 -2.354381) (xy 0.246853 -2.365018) (xy 0.227431 -2.37554) + (xy 0.208115 -2.386181) (xy 0.169487 -2.407218) (xy 0.150065 -2.417759) (xy 0.13075 -2.428381) + (xy 0.111437 -2.439018) (xy 0.092121 -2.44954) (xy 0.0727 -2.460059) (xy 0.061306 -2.46474)) (layer F.SilkS) (width 0)) + ) + + (gr_text WS28* (at 156.718 57.912) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (gr_line (start 157.48 66.548) (end 156.464 66.548) (layer B.SilkS) (width 0.2) (tstamp 5C04643E)) + (gr_line (start 156.464 66.548) (end 156.972 67.056) (layer B.SilkS) (width 0.2) (tstamp 5C04643D)) + (gr_line (start 156.972 67.056) (end 157.48 66.548) (layer B.SilkS) (width 0.2) (tstamp 5C04643C)) + (gr_line (start 156.972 65.532) (end 156.972 66.548) (layer B.SilkS) (width 0.2) (tstamp 5C04643B)) + (gr_line (start 156.972 67.31) (end 156.464 66.802) (layer F.SilkS) (width 0.2)) + (gr_line (start 157.48 66.802) (end 156.972 67.31) (layer F.SilkS) (width 0.2)) + (gr_line (start 156.464 66.802) (end 157.48 66.802) (layer F.SilkS) (width 0.2)) + (gr_line (start 156.972 65.786) (end 156.972 66.802) (layer F.SilkS) (width 0.2)) + (gr_arc (start 157.226 57.896) (end 158.226 57.896) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C045D54)) + (gr_arc (start 157.242 66.564) (end 157.242 67.564) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C045D51)) + (gr_arc (start 142.24 66.564) (end 141.24 66.564) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C045D4E)) + (gr_arc (start 142.224 57.912) (end 142.224 56.912) (angle -90) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 141.224 66.548) (end 141.224 57.912) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 157.226 67.564) (end 142.24 67.564) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 158.242 57.912) (end 158.242 66.548) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 142.24 56.896) (end 157.226 56.896) (layer Edge.Cuts) (width 0.15)) + + (segment (start 154.06 60.325) (end 152.687 60.325) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 154.94 61.205) (end 154.06 60.325) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 155.942 63.255) (end 156.972 62.225) (width 0.25) (layer B.Cu) (net 2)) + (segment (start 154.94 63.255) (end 155.942 63.255) (width 0.25) (layer B.Cu) (net 2)) + (via (at 149.86 63.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 142.748 66.04) (end 144.78 66.04) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 156.972 64.765) (end 155.199 64.765) (width 1.2) (layer F.Cu) (net 3)) + (segment (start 142.748 58.42) (end 144.526 58.42) (width 1.2) (layer F.Cu) (net 4)) + (segment (start 156.972 59.685) (end 155.453 59.685) (width 1.2) (layer F.Cu) (net 4)) + (via (at 146.304 60.325) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 4)) + (segment (start 147.287 60.325) (end 146.304 60.325) (width 0.3) (layer B.Cu) (net 4)) + (segment (start 146.304 61.205) (end 146.304 60.325) (width 0.3) (layer F.Cu) (net 4)) + (segment (start 146.906 61.976) (end 147.287 61.595) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 144.78 61.205) (end 145.551 61.976) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 145.551 61.976) (end 146.906 61.976) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 143.597999 61.809999) (end 144.184001 61.809999) (width 0.3) (layer B.Cu) (net 5)) + (segment (start 142.748 60.96) (end 143.597999 61.809999) (width 0.3) (layer B.Cu) (net 5)) + (segment (start 144.78 61.214) (end 144.78 61.205) (width 0.3) (layer B.Cu) (net 5)) + (segment (start 144.184001 61.809999) (end 144.78 61.214) (width 0.3) (layer B.Cu) (net 5)) + (segment (start 146.906 62.484) (end 147.287 62.865) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 144.78 63.255) (end 145.551 62.484) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 145.551 62.484) (end 146.906 62.484) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 143.597999 62.650001) (end 144.184001 62.650001) (width 0.3) (layer B.Cu) (net 6)) + (segment (start 142.748 63.5) (end 143.597999 62.650001) (width 0.3) (layer B.Cu) (net 6)) + (segment (start 144.78 63.246) (end 144.78 63.255) (width 0.3) (layer B.Cu) (net 6)) + (segment (start 144.184001 62.650001) (end 144.78 63.246) (width 0.3) (layer B.Cu) (net 6)) + + (zone (net 3) (net_name GND) (layer B.Cu) (tstamp 5C0468AB) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 141.224 56.896) (xy 158.242 56.896) (xy 158.242 67.564) (xy 141.224 67.564) + ) + ) + (filled_polygon + (pts + (xy 157.331655 57.640926) (xy 157.421225 57.700774) (xy 157.481074 57.790345) (xy 157.529642 58.034513) (xy 157.532 58.040206) + (xy 157.532 58.282299) (xy 157.118256 58.2) (xy 156.825744 58.2) (xy 156.392582 58.286161) (xy 155.901375 58.614375) + (xy 155.573161 59.105582) (xy 155.457908 59.685) (xy 155.522332 60.008882) (xy 155.390001 59.98256) (xy 154.792361 59.98256) + (xy 154.650331 59.84053) (xy 154.607929 59.777071) (xy 154.356537 59.609096) (xy 154.134852 59.565) (xy 154.134847 59.565) + (xy 154.06 59.550112) (xy 153.985153 59.565) (xy 153.91653 59.565) (xy 153.709765 59.426843) (xy 153.462 59.37756) + (xy 151.912 59.37756) (xy 151.664235 59.426843) (xy 151.454191 59.567191) (xy 151.313843 59.777235) (xy 151.26456 60.025) + (xy 151.26456 60.625) (xy 151.313843 60.872765) (xy 151.366768 60.951972) (xy 151.277 61.16869) (xy 151.277 61.30925) + (xy 151.43575 61.468) (xy 152.56 61.468) (xy 152.56 61.448) (xy 152.814 61.448) (xy 152.814 61.468) + (xy 152.834 61.468) (xy 152.834 61.722) (xy 152.814 61.722) (xy 152.814 62.738) (xy 152.834 62.738) + (xy 152.834 62.992) (xy 152.814 62.992) (xy 152.814 64.008) (xy 152.834 64.008) (xy 152.834 64.262) + (xy 152.814 64.262) (xy 152.814 64.91125) (xy 152.97275 65.07) (xy 153.588309 65.07) (xy 153.634782 65.05075) + (xy 155.487 65.05075) (xy 155.487 65.74131) (xy 155.583673 65.974699) (xy 155.762302 66.153327) (xy 155.995691 66.25) + (xy 156.68625 66.25) (xy 156.845 66.09125) (xy 156.845 64.892) (xy 155.64575 64.892) (xy 155.487 65.05075) + (xy 153.634782 65.05075) (xy 153.821698 64.973327) (xy 154.000327 64.794699) (xy 154.097 64.56131) (xy 154.097 64.42075) + (xy 153.962165 64.285915) (xy 154.146564 64.409127) (xy 154.489999 64.47744) (xy 155.390001 64.47744) (xy 155.487 64.458146) + (xy 155.487 64.47925) (xy 155.64575 64.638) (xy 156.845 64.638) (xy 156.845 64.618) (xy 157.099 64.618) + (xy 157.099 64.638) (xy 157.119 64.638) (xy 157.119 64.892) (xy 157.099 64.892) (xy 157.099 66.09125) + (xy 157.25775 66.25) (xy 157.532001 66.25) (xy 157.532001 66.494065) (xy 157.497074 66.669655) (xy 157.437225 66.759226) + (xy 157.347655 66.819074) (xy 157.17207 66.854) (xy 144.233 66.854) (xy 144.233 66.32575) (xy 144.07425 66.167) + (xy 142.875 66.167) (xy 142.875 66.187) (xy 142.621 66.187) (xy 142.621 66.167) (xy 142.601 66.167) + (xy 142.601 65.913) (xy 142.621 65.913) (xy 142.621 65.893) (xy 142.875 65.893) (xy 142.875 65.913) + (xy 144.07425 65.913) (xy 144.233 65.75425) (xy 144.233 65.06369) (xy 144.136327 64.830301) (xy 143.957698 64.651673) + (xy 143.796967 64.585096) (xy 143.818625 64.570625) (xy 143.945063 64.381397) (xy 143.986564 64.409127) (xy 144.329999 64.47744) + (xy 145.230001 64.47744) (xy 145.573436 64.409127) (xy 145.864586 64.214586) (xy 145.877 64.196007) (xy 145.877 64.262002) + (xy 146.035748 64.262002) (xy 145.877 64.42075) (xy 145.877 64.56131) (xy 145.973673 64.794699) (xy 146.152302 64.973327) + (xy 146.385691 65.07) (xy 147.00125 65.07) (xy 147.16 64.91125) (xy 147.16 64.262) (xy 147.414 64.262) + (xy 147.414 64.91125) (xy 147.57275 65.07) (xy 148.188309 65.07) (xy 148.421698 64.973327) (xy 148.600327 64.794699) + (xy 148.697 64.56131) (xy 148.697 64.42075) (xy 151.277 64.42075) (xy 151.277 64.56131) (xy 151.373673 64.794699) + (xy 151.552302 64.973327) (xy 151.785691 65.07) (xy 152.40125 65.07) (xy 152.56 64.91125) (xy 152.56 64.262) + (xy 151.43575 64.262) (xy 151.277 64.42075) (xy 148.697 64.42075) (xy 148.53825 64.262) (xy 147.414 64.262) + (xy 147.16 64.262) (xy 147.14 64.262) (xy 147.14 64.008) (xy 147.16 64.008) (xy 147.16 63.988) + (xy 147.414 63.988) (xy 147.414 64.008) (xy 148.53825 64.008) (xy 148.697 63.84925) (xy 148.697 63.70869) + (xy 148.607232 63.491972) (xy 148.660157 63.412765) (xy 148.70944 63.165) (xy 148.70944 63.15075) (xy 151.277 63.15075) + (xy 151.277 63.29131) (xy 151.363442 63.5) (xy 151.277 63.70869) (xy 151.277 63.84925) (xy 151.43575 64.008) + (xy 152.56 64.008) (xy 152.56 62.992) (xy 151.43575 62.992) (xy 151.277 63.15075) (xy 148.70944 63.15075) + (xy 148.70944 62.565) (xy 148.660157 62.317235) (xy 148.601868 62.23) (xy 148.660157 62.142765) (xy 148.70944 61.895) + (xy 148.70944 61.88075) (xy 151.277 61.88075) (xy 151.277 62.02131) (xy 151.363442 62.23) (xy 151.277 62.43869) + (xy 151.277 62.57925) (xy 151.43575 62.738) (xy 152.56 62.738) (xy 152.56 61.722) (xy 151.43575 61.722) + (xy 151.277 61.88075) (xy 148.70944 61.88075) (xy 148.70944 61.295) (xy 148.660157 61.047235) (xy 148.601868 60.96) + (xy 148.660157 60.872765) (xy 148.70944 60.625) (xy 148.70944 60.025) (xy 148.660157 59.777235) (xy 148.519809 59.567191) + (xy 148.309765 59.426843) (xy 148.062 59.37756) (xy 146.721263 59.37756) (xy 146.509874 59.29) (xy 146.098126 59.29) + (xy 145.71772 59.447569) (xy 145.426569 59.73872) (xy 145.318293 60.000122) (xy 145.230001 59.98256) (xy 144.329999 59.98256) + (xy 143.986564 60.050873) (xy 143.945063 60.078603) (xy 143.818625 59.889375) (xy 143.520239 59.69) (xy 143.818625 59.490625) + (xy 144.146839 58.999418) (xy 144.262092 58.42) (xy 144.146839 57.840582) (xy 143.990096 57.606) (xy 157.15607 57.606) + ) + ) + ) + (zone (net 4) (net_name VCC) (layer F.Cu) (tstamp 0) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 141.224 56.896) (xy 158.242 56.896) (xy 158.242 61.976) (xy 141.224 61.976) + ) + ) + (filled_polygon + (pts + (xy 157.331655 57.640926) (xy 157.421225 57.700774) (xy 157.481074 57.790345) (xy 157.529642 58.034513) (xy 157.532 58.040206) + (xy 157.532 58.338899) (xy 157.328892 58.243514) (xy 157.099 58.364181) (xy 157.099 59.558) (xy 157.119 59.558) + (xy 157.119 59.812) (xy 157.099 59.812) (xy 157.099 59.832) (xy 156.845 59.832) (xy 156.845 59.812) + (xy 155.651845 59.812) (xy 155.530524 60.04189) (xy 155.700355 60.451924) (xy 156.090642 60.880183) (xy 156.220478 60.941157) + (xy 155.901375 61.154375) (xy 155.573161 61.645582) (xy 155.532699 61.849) (xy 154.751 61.849) (xy 154.751 61.49075) + (xy 154.59225 61.332) (xy 153.543 61.332) (xy 153.543 61.352) (xy 153.289 61.352) (xy 153.289 61.332) + (xy 152.23975 61.332) (xy 152.081 61.49075) (xy 152.081 61.849) (xy 151.295 61.849) (xy 151.295 61.01575) + (xy 151.13625 60.857) (xy 149.987 60.857) (xy 149.987 60.877) (xy 149.733 60.877) (xy 149.733 60.857) + (xy 148.58375 60.857) (xy 148.425 61.01575) (xy 148.425 61.849) (xy 147.639 61.849) (xy 147.639 61.49075) + (xy 147.48025 61.332) (xy 146.431 61.332) (xy 146.431 61.352) (xy 146.177 61.352) (xy 146.177 61.332) + (xy 145.12775 61.332) (xy 144.969 61.49075) (xy 144.969 61.849) (xy 143.939983 61.849) (xy 144.146839 61.539418) + (xy 144.262092 60.96) (xy 144.171327 60.503691) (xy 144.969 60.503691) (xy 144.969 60.91925) (xy 145.12775 61.078) + (xy 146.177 61.078) (xy 146.177 60.15375) (xy 146.431 60.15375) (xy 146.431 61.078) (xy 147.48025 61.078) + (xy 147.639 60.91925) (xy 147.639 60.503691) (xy 147.542327 60.270302) (xy 147.363699 60.091673) (xy 147.13031 59.995) + (xy 146.58975 59.995) (xy 146.431 60.15375) (xy 146.177 60.15375) (xy 146.01825 59.995) (xy 145.47769 59.995) + (xy 145.244301 60.091673) (xy 145.065673 60.270302) (xy 144.969 60.503691) (xy 144.171327 60.503691) (xy 144.146839 60.380582) + (xy 143.818625 59.889375) (xy 143.499522 59.676157) (xy 143.629358 59.615183) (xy 143.730964 59.503691) (xy 148.425 59.503691) + (xy 148.425 60.44425) (xy 148.58375 60.603) (xy 149.733 60.603) (xy 149.733 59.15375) (xy 149.987 59.15375) + (xy 149.987 60.603) (xy 151.13625 60.603) (xy 151.235559 60.503691) (xy 152.081 60.503691) (xy 152.081 60.91925) + (xy 152.23975 61.078) (xy 153.289 61.078) (xy 153.289 60.15375) (xy 153.543 60.15375) (xy 153.543 61.078) + (xy 154.59225 61.078) (xy 154.751 60.91925) (xy 154.751 60.503691) (xy 154.654327 60.270302) (xy 154.475699 60.091673) + (xy 154.24231 59.995) (xy 153.70175 59.995) (xy 153.543 60.15375) (xy 153.289 60.15375) (xy 153.13025 59.995) + (xy 152.58969 59.995) (xy 152.356301 60.091673) (xy 152.177673 60.270302) (xy 152.081 60.503691) (xy 151.235559 60.503691) + (xy 151.295 60.44425) (xy 151.295 59.503691) (xy 151.222272 59.32811) (xy 155.530524 59.32811) (xy 155.651845 59.558) + (xy 156.845 59.558) (xy 156.845 58.364181) (xy 156.615108 58.243514) (xy 156.090642 58.489817) (xy 155.700355 58.918076) + (xy 155.530524 59.32811) (xy 151.222272 59.32811) (xy 151.198327 59.270302) (xy 151.019699 59.091673) (xy 150.78631 58.995) + (xy 150.14575 58.995) (xy 149.987 59.15375) (xy 149.733 59.15375) (xy 149.57425 58.995) (xy 148.93369 58.995) + (xy 148.700301 59.091673) (xy 148.521673 59.270302) (xy 148.425 59.503691) (xy 143.730964 59.503691) (xy 144.019645 59.186924) + (xy 144.189476 58.77689) (xy 144.068155 58.547) (xy 142.875 58.547) (xy 142.875 58.567) (xy 142.621 58.567) + (xy 142.621 58.547) (xy 142.601 58.547) (xy 142.601 58.293) (xy 142.621 58.293) (xy 142.621 58.273) + (xy 142.875 58.273) (xy 142.875 58.293) (xy 144.068155 58.293) (xy 144.189476 58.06311) (xy 144.019645 57.653076) + (xy 143.976743 57.606) (xy 157.15607 57.606) + ) + ) + ) + (zone (net 3) (net_name GND) (layer F.Cu) (tstamp 0) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 141.224 67.564) (xy 158.242 67.564) (xy 158.242 62.484) (xy 141.224 62.484) + ) + ) + (filled_polygon + (pts + (xy 144.969 62.96925) (xy 145.12775 63.128) (xy 146.177 63.128) (xy 146.177 63.108) (xy 146.431 63.108) + (xy 146.431 63.128) (xy 147.48025 63.128) (xy 147.639 62.96925) (xy 147.639 62.611) (xy 148.425 62.611) + (xy 148.425 63.44425) (xy 148.58375 63.603) (xy 149.733 63.603) (xy 149.733 63.583) (xy 149.987 63.583) + (xy 149.987 63.603) (xy 151.13625 63.603) (xy 151.1985 63.54075) (xy 152.081 63.54075) (xy 152.081 63.956309) + (xy 152.177673 64.189698) (xy 152.356301 64.368327) (xy 152.58969 64.465) (xy 153.13025 64.465) (xy 153.289 64.30625) + (xy 153.289 63.382) (xy 153.543 63.382) (xy 153.543 64.30625) (xy 153.70175 64.465) (xy 154.24231 64.465) + (xy 154.475699 64.368327) (xy 154.654327 64.189698) (xy 154.751 63.956309) (xy 154.751 63.54075) (xy 154.59225 63.382) + (xy 153.543 63.382) (xy 153.289 63.382) (xy 152.23975 63.382) (xy 152.081 63.54075) (xy 151.1985 63.54075) + (xy 151.295 63.44425) (xy 151.295 62.611) (xy 152.081 62.611) (xy 152.081 62.96925) (xy 152.23975 63.128) + (xy 153.289 63.128) (xy 153.289 63.108) (xy 153.543 63.108) (xy 153.543 63.128) (xy 154.59225 63.128) + (xy 154.751 62.96925) (xy 154.751 62.611) (xy 155.534688 62.611) (xy 155.573161 62.804418) (xy 155.901375 63.295625) + (xy 155.923033 63.310096) (xy 155.762302 63.376673) (xy 155.583673 63.555301) (xy 155.487 63.78869) (xy 155.487 64.47925) + (xy 155.64575 64.638) (xy 156.845 64.638) (xy 156.845 64.618) (xy 157.099 64.618) (xy 157.099 64.638) + (xy 157.119 64.638) (xy 157.119 64.892) (xy 157.099 64.892) (xy 157.099 66.09125) (xy 157.25775 66.25) + (xy 157.532001 66.25) (xy 157.532001 66.494065) (xy 157.497074 66.669655) (xy 157.437225 66.759226) (xy 157.347655 66.819074) + (xy 157.17207 66.854) (xy 144.233 66.854) (xy 144.233 66.32575) (xy 144.07425 66.167) (xy 142.875 66.167) + (xy 142.875 66.187) (xy 142.621 66.187) (xy 142.621 66.167) (xy 142.601 66.167) (xy 142.601 65.913) + (xy 142.621 65.913) (xy 142.621 65.893) (xy 142.875 65.893) (xy 142.875 65.913) (xy 144.07425 65.913) + (xy 144.233 65.75425) (xy 144.233 65.06369) (xy 144.136327 64.830301) (xy 143.957698 64.651673) (xy 143.796967 64.585096) + (xy 143.818625 64.570625) (xy 144.146839 64.079418) (xy 144.253986 63.54075) (xy 144.969 63.54075) (xy 144.969 63.956309) + (xy 145.065673 64.189698) (xy 145.244301 64.368327) (xy 145.47769 64.465) (xy 146.01825 64.465) (xy 146.177 64.30625) + (xy 146.177 63.382) (xy 146.431 63.382) (xy 146.431 64.30625) (xy 146.58975 64.465) (xy 147.13031 64.465) + (xy 147.363699 64.368327) (xy 147.542327 64.189698) (xy 147.614378 64.01575) (xy 148.425 64.01575) (xy 148.425 64.956309) + (xy 148.521673 65.189698) (xy 148.700301 65.368327) (xy 148.93369 65.465) (xy 149.57425 65.465) (xy 149.733 65.30625) + (xy 149.733 63.857) (xy 149.987 63.857) (xy 149.987 65.30625) (xy 150.14575 65.465) (xy 150.78631 65.465) + (xy 151.019699 65.368327) (xy 151.198327 65.189698) (xy 151.255881 65.05075) (xy 155.487 65.05075) (xy 155.487 65.74131) + (xy 155.583673 65.974699) (xy 155.762302 66.153327) (xy 155.995691 66.25) (xy 156.68625 66.25) (xy 156.845 66.09125) + (xy 156.845 64.892) (xy 155.64575 64.892) (xy 155.487 65.05075) (xy 151.255881 65.05075) (xy 151.295 64.956309) + (xy 151.295 64.01575) (xy 151.13625 63.857) (xy 149.987 63.857) (xy 149.733 63.857) (xy 148.58375 63.857) + (xy 148.425 64.01575) (xy 147.614378 64.01575) (xy 147.639 63.956309) (xy 147.639 63.54075) (xy 147.48025 63.382) + (xy 146.431 63.382) (xy 146.177 63.382) (xy 145.12775 63.382) (xy 144.969 63.54075) (xy 144.253986 63.54075) + (xy 144.262092 63.5) (xy 144.146839 62.920582) (xy 143.939983 62.611) (xy 144.969 62.611) + ) + ) + ) +) diff --git a/Hardware/kicad/DiffWS2811/DiffWS2811_min.pro b/Hardware/kicad/DiffWS2811/DiffWS2811_min.pro new file mode 100644 index 0000000..152769c --- /dev/null +++ b/Hardware/kicad/DiffWS2811/DiffWS2811_min.pro @@ -0,0 +1,33 @@ +update=22/05/2015 07:44:53 +version=1 +last_client=kicad +[general] +version=1 +RootSch= +BoardNm= +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries]